RISCV_picorv32_fpga/rtl/DE0-NANO/test_bench/README.txt

12 lines
233 B
Plaintext
Raw Permalink Normal View History

Build verilog version with Icarus Verilog:
$ cd xoroshiro128plus/
$ iverilog -o xoroshiro128plus_tb.vvp xoroshiro128plus_tb.v xoroshiro128plus.v shuff.v
and run with the vvp simulator:
$ vvp xoroshiro128plus_tb.vvp