-- Copyright (C) 1991-2015 Altera Corporation. All rights reserved. -- Your use of Altera Corporation's design tools, logic functions -- and other software and tools, and its AMPP partner logic -- functions, and any output files from any of the foregoing -- (including device programming or simulation files), and any -- associated documentation or information are expressly subject -- to the terms and conditions of the Altera Program License -- Subscription Agreement, the Altera Quartus II License Agreement, -- the Altera MegaCore Function License Agreement, or other -- applicable license agreement, including, without limitation, -- that your use is for the sole purpose of programming logic -- devices manufactured by Altera and sold by Altera or its -- authorized distributors. Please refer to the applicable -- agreement for further details. -- -- This is a Quartus II output file. It is for reporting purposes only, and is -- not intended for use as a Quartus II input file. This file cannot be used -- to make Quartus II pin assignments - for instructions on how to make pin -- assignments, please see Quartus II help. --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -- NC : No Connect. This pin has no internal connection to the device. -- DNU : Do Not Use. This pin MUST NOT be connected. -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.2V). -- VCCIO : Dedicated power pin, which MUST be connected to VCC -- of its bank. -- Bank 1: 3.3V -- Bank 2: 3.3V -- Bank 3: 1.2V -- Bank 4: 3.3V -- Bank 5: 3.3V -- Bank 6: 3.3V -- Bank 7: 3.3V -- Bank 8: 3.3V -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. -- It can also be used to report unused dedicated pins. The connection -- on the board for unused dedicated pins depends on whether this will -- be used in a future design. One example is device migration. When -- using device migration, refer to the device pin-tables. If it is a -- GND pin in the pin table or if it will not be used in a future design -- for another purpose the it MUST be connected to GND. If it is an unused -- dedicated pin, then it can be connected to a valid signal on the board -- (low, high, or toggling) if that signal is required for a different -- revision of the design. -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. -- This pin should be connected to GND. It may also be connected to a -- valid signal on the board (low, high, or toggling) if that signal -- is required for a different revision of the design. -- GND* : Unused I/O pin. Connect each pin marked GND* directly to GND -- or leave it unconnected. -- RESERVED : Unused I/O pin, which MUST be left unconnected. -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -- Pin directions (input, output or bidir) are based on device operating in user mode. --------------------------------------------------------------------------------- Quartus II 64-Bit Version 15.0.0 Build 145 04/22/2015 SJ Web Edition CHIP "xoro" ASSIGNED TO AN: EP4CE22F17C6 Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment ------------------------------------------------------------------------------------------------------------- VCCIO8 : A1 : power : : 3.3V : 8 : RESERVED_INPUT_WITH_WEAK_PULLUP : A2 : : : : 8 : UART_TX : A3 : output : 3.3-V LVTTL : : 8 : Y RESERVED_INPUT_WITH_WEAK_PULLUP : A4 : : : : 8 : RESERVED_INPUT_WITH_WEAK_PULLUP : A5 : : : : 8 : RESERVED_INPUT_WITH_WEAK_PULLUP : A6 : : : : 8 : RESERVED_INPUT_WITH_WEAK_PULLUP : A7 : : : : 8 : GND+ : A8 : : : : 8 : GND+ : A9 : : : : 7 : GPIO_1_D[1] : A10 : output : 3.3-V LVTTL : : 7 : N LED[3] : A11 : output : 3.3-V LVTTL : : 7 : Y RESERVED_INPUT_WITH_WEAK_PULLUP : A12 : : : : 7 : LED[1] : A13 : output : 3.3-V LVTTL : : 7 : Y RESERVED_INPUT_WITH_WEAK_PULLUP : A14 : : : : 7 : LED[0] : A15 : output : 3.3-V LVTTL : : 7 : Y VCCIO7 : A16 : power : : 3.3V : 7 : LED[6] : B1 : output : 3.3-V LVTTL : : 1 : Y GND : B2 : gnd : : : : RESERVED_INPUT_WITH_WEAK_PULLUP : B3 : : : : 8 : UART_RX : B4 : input : 2.5 V : : 8 : Y GPIO_1_D[3] : B5 : output : 3.3-V LVTTL : : 8 : N RESERVED_INPUT_WITH_WEAK_PULLUP : B6 : : : : 8 : RESERVED_INPUT_WITH_WEAK_PULLUP : B7 : : : : 8 : GND+ : B8 : : : : 8 : GND+ : B9 : : : : 7 : RESERVED_INPUT_WITH_WEAK_PULLUP : B10 : : : : 7 : RESERVED_INPUT_WITH_WEAK_PULLUP : B11 : : : : 7 : RESERVED_INPUT_WITH_WEAK_PULLUP : B12 : : : : 7 : LED[2] : B13 : output : 3.3-V LVTTL : : 7 : Y RESERVED_INPUT_WITH_WEAK_PULLUP : B14 : : : : 7 : GND : B15 : gnd : : : : GPIO_1_D[7] : B16 : output : 3.3-V LVTTL : : 6 : N ~ALTERA_ASDO_DATA1~ / RESERVED_INPUT_WITH_WEAK_PULLUP : C1 : input : 3.3-V LVTTL : : 1 : N RESERVED_INPUT_WITH_WEAK_PULLUP : C2 : : : : 1 : RESERVED_INPUT_WITH_WEAK_PULLUP : C3 : : : : 8 : VCCIO8 : C4 : power : : 3.3V : 8 : GND : C5 : gnd : : : : RESERVED_INPUT_WITH_WEAK_PULLUP : C6 : : : : 8 : VCCIO8 : C7 : power : : 3.3V : 8 : RESERVED_INPUT_WITH_WEAK_PULLUP : C8 : : : : 8 : RESERVED_INPUT_WITH_WEAK_PULLUP : C9 : : : : 7 : VCCIO7 : C10 : power : : 3.3V : 7 : RESERVED_INPUT_WITH_WEAK_PULLUP : C11 : : : : 7 : GND : C12 : gnd : : : : VCCIO7 : C13 : power : : 3.3V : 7 : RESERVED_INPUT_WITH_WEAK_PULLUP : C14 : : : : 7 : RESERVED_INPUT_WITH_WEAK_PULLUP : C15 : : : : 6 : RESERVED_INPUT_WITH_WEAK_PULLUP : C16 : : : : 6 : LED[4] : D1 : output : 3.3-V LVTTL : : 1 : Y ~ALTERA_FLASH_nCE_nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : D2 : input : 3.3-V LVTTL : : 1 : N RESERVED_INPUT_WITH_WEAK_PULLUP : D3 : : : : 8 : VCCD_PLL3 : D4 : power : : 1.2V : : RESERVED_INPUT_WITH_WEAK_PULLUP : D5 : : : : 8 : RESERVED_INPUT_WITH_WEAK_PULLUP : D6 : : : : 8 : GND : D7 : gnd : : : : RESERVED_INPUT_WITH_WEAK_PULLUP : D8 : : : : 8 : RESERVED_INPUT_WITH_WEAK_PULLUP : D9 : : : : 7 : GND : D10 : gnd : : : : RESERVED_INPUT_WITH_WEAK_PULLUP : D11 : : : : 7 : RESERVED_INPUT_WITH_WEAK_PULLUP : D12 : : : : 7 : VCCD_PLL2 : D13 : power : : 1.2V : : RESERVED_INPUT_WITH_WEAK_PULLUP : D14 : : : : 7 : RESERVED_INPUT_WITH_WEAK_PULLUP : D15 : : : : 6 : RESERVED_INPUT_WITH_WEAK_PULLUP : D16 : : : : 6 : GND+ : E1 : : : : 1 : GND : E2 : gnd : : : : VCCIO1 : E3 : power : : 3.3V : 1 : GND : E4 : gnd : : : : GNDA3 : E5 : gnd : : : : RESERVED_INPUT_WITH_WEAK_PULLUP : E6 : : : : 8 : RESERVED_INPUT_WITH_WEAK_PULLUP : E7 : : : : 8 : RESERVED_INPUT_WITH_WEAK_PULLUP : E8 : : : : 8 : RESERVED_INPUT_WITH_WEAK_PULLUP : E9 : : : : 7 : RESERVED_INPUT_WITH_WEAK_PULLUP : E10 : : : : 7 : RESERVED_INPUT_WITH_WEAK_PULLUP : E11 : : : : 7 : GNDA2 : E12 : gnd : : : : GND : E13 : gnd : : : : VCCIO6 : E14 : power : : 3.3V : 6 : GND+ : E15 : : : : 6 : GND+ : E16 : : : : 6 : GPIO_1_D[0] : F1 : output : 3.3-V LVTTL : : 1 : N RESERVED_INPUT_WITH_WEAK_PULLUP : F2 : : : : 1 : LED[5] : F3 : output : 3.3-V LVTTL : : 1 : Y nSTATUS : F4 : : : : 1 : VCCA3 : F5 : power : : 2.5V : : GND : F6 : gnd : : : : VCCINT : F7 : power : : 1.2V : : GPIO_1_D[5] : F8 : output : 3.3-V LVTTL : : 8 : N RESERVED_INPUT_WITH_WEAK_PULLUP : F9 : : : : 7 : GND : F10 : gnd : : : : VCCINT : F11 : power : : 1.2V : : VCCA2 : F12 : power : : 2.5V : : RESERVED_INPUT_WITH_WEAK_PULLUP : F13 : : : : 6 : RESERVED_INPUT_WITH_WEAK_PULLUP : F14 : : : : 6 : RESERVED_INPUT_WITH_WEAK_PULLUP : F15 : : : : 6 : ~ALTERA_nCEO~ / RESERVED_OUTPUT_OPEN_DRAIN : F16 : output : 3.3-V LVTTL : : 6 : N RESERVED_INPUT_WITH_WEAK_PULLUP : G1 : : : : 1 : RESERVED_INPUT_WITH_WEAK_PULLUP : G2 : : : : 1 : VCCIO1 : G3 : power : : 3.3V : 1 : GND : G4 : gnd : : : : RESERVED_INPUT_WITH_WEAK_PULLUP : G5 : : : : 1 : VCCINT : G6 : power : : 1.2V : : VCCINT : G7 : power : : 1.2V : : VCCINT : G8 : power : : 1.2V : : VCCINT : G9 : power : : 1.2V : : VCCINT : G10 : power : : 1.2V : : GND : G11 : gnd : : : : MSEL2 : G12 : : : : 6 : GND : G13 : gnd : : : : VCCIO6 : G14 : power : : 3.3V : 6 : RESERVED_INPUT_WITH_WEAK_PULLUP : G15 : : : : 6 : RESERVED_INPUT_WITH_WEAK_PULLUP : G16 : : : : 6 : ~ALTERA_DCLK~ : H1 : output : 3.3-V LVTTL : : 1 : N ~ALTERA_DATA0~ / RESERVED_INPUT_WITH_WEAK_PULLUP : H2 : input : 3.3-V LVTTL : : 1 : N TCK : H3 : input : : : 1 : TDI : H4 : input : : : 1 : nCONFIG : H5 : : : : 1 : VCCINT : H6 : power : : 1.2V : : GND : H7 : gnd : : : : GND : H8 : gnd : : : : GND : H9 : gnd : : : : GND : H10 : gnd : : : : VCCINT : H11 : power : : 1.2V : : MSEL1 : H12 : : : : 6 : MSEL0 : H13 : : : : 6 : CONF_DONE : H14 : : : : 6 : GND : H15 : gnd : : : : GND : H16 : gnd : : : : RESERVED_INPUT_WITH_WEAK_PULLUP : J1 : : : : 2 : RESERVED_INPUT_WITH_WEAK_PULLUP : J2 : : : : 2 : nCE : J3 : : : : 1 : TDO : J4 : output : : : 1 : TMS : J5 : input : : : 1 : VCCINT : J6 : power : : 1.2V : : GND : J7 : gnd : : : : GND : J8 : gnd : : : : GND : J9 : gnd : : : : GND : J10 : gnd : : : : GND : J11 : gnd : : : : VCCINT : J12 : power : : 1.2V : : GPIO_1_D[32] : J13 : output : 3.3-V LVTTL : : 5 : Y GPIO_1_D[33] : J14 : output : 3.3-V LVTTL : : 5 : Y reset_btn : J15 : input : 3.3-V LVTTL : : 5 : Y GPIO_1_D[30] : J16 : output : 3.3-V LVTTL : : 5 : Y RESERVED_INPUT_WITH_WEAK_PULLUP : K1 : : : : 2 : RESERVED_INPUT_WITH_WEAK_PULLUP : K2 : : : : 2 : VCCIO2 : K3 : power : : 3.3V : 2 : GND : K4 : gnd : : : : RESERVED_INPUT_WITH_WEAK_PULLUP : K5 : : : : 2 : GND : K6 : gnd : : : : VCCINT : K7 : power : : 1.2V : : GND : K8 : gnd : : : : VCCINT : K9 : power : : 1.2V : : VCCINT : K10 : power : : 1.2V : : VCCINT : K11 : power : : 1.2V : : GND : K12 : gnd : : : : GND : K13 : gnd : : : : VCCIO5 : K14 : power : : 3.3V : 5 : GPIO_1_D[31] : K15 : output : 3.3-V LVTTL : : 5 : Y GPIO_1_D[17] : K16 : output : 3.3-V LVTTL : : 5 : Y RESERVED_INPUT_WITH_WEAK_PULLUP : L1 : : : : 2 : RESERVED_INPUT_WITH_WEAK_PULLUP : L2 : : : : 2 : LED[7] : L3 : output : 3.3-V LVTTL : : 2 : Y RESERVED_INPUT_WITH_WEAK_PULLUP : L4 : : : : 2 : VCCA1 : L5 : power : : 2.5V : : VCCINT : L6 : power : : 1.2V : : RESERVED_INPUT_WITH_WEAK_PULLUP : L7 : : : : 3 : RESERVED_INPUT_WITH_WEAK_PULLUP : L8 : : : : 3 : GND : L9 : gnd : : : : GND : L10 : gnd : : : : GND : L11 : gnd : : : : VCCA4 : L12 : power : : 2.5V : : GPIO_1_D[29] : L13 : output : 3.3-V LVTTL : : 5 : Y GPIO_1_D[26] : L14 : output : 3.3-V LVTTL : : 5 : Y GPIO_1_D[19] : L15 : output : 3.3-V LVTTL : : 5 : Y GPIO_1_D[16] : L16 : output : 3.3-V LVTTL : : 5 : Y GND+ : M1 : : : : 2 : GND+ : M2 : : : : 2 : VCCIO2 : M3 : power : : 3.3V : 2 : GND : M4 : gnd : : : : GNDA1 : M5 : gnd : : : : RESERVED_INPUT_WITH_WEAK_PULLUP : M6 : : : : 3 : RESERVED_INPUT_WITH_WEAK_PULLUP : M7 : : : : 3 : RESERVED_INPUT_WITH_WEAK_PULLUP : M8 : : : : 3 : VCCINT : M9 : power : : 1.2V : : GPIO_1_D[28] : M10 : output : 3.3-V LVTTL : : 4 : Y VCCINT : M11 : power : : 1.2V : : GNDA4 : M12 : gnd : : : : GND : M13 : gnd : : : : VCCIO5 : M14 : power : : 3.3V : 5 : GND+ : M15 : : : : 5 : GND+ : M16 : : : : 5 : RESERVED_INPUT_WITH_WEAK_PULLUP : N1 : : : : 2 : RESERVED_INPUT_WITH_WEAK_PULLUP : N2 : : : : 2 : RESERVED_INPUT_WITH_WEAK_PULLUP : N3 : : : : 3 : VCCD_PLL1 : N4 : power : : 1.2V : : RESERVED_INPUT_WITH_WEAK_PULLUP : N5 : : : : 3 : RESERVED_INPUT_WITH_WEAK_PULLUP : N6 : : : : 3 : GND : N7 : gnd : : : : RESERVED_INPUT_WITH_WEAK_PULLUP : N8 : : : : 3 : GPIO_1_D[14] : N9 : output : 3.3-V LVTTL : : 4 : Y GND : N10 : gnd : : : : GPIO_1_D[15] : N11 : output : 3.3-V LVTTL : : 4 : Y GPIO_1_D[12] : N12 : output : 3.3-V LVTTL : : 4 : Y VCCD_PLL4 : N13 : power : : 1.2V : : GPIO_1_D[27] : N14 : output : 3.3-V LVTTL : : 5 : Y GPIO_1_D[24] : N15 : output : 3.3-V LVTTL : : 5 : Y GPIO_1_D[23] : N16 : output : 3.3-V LVTTL : : 5 : Y RESERVED_INPUT_WITH_WEAK_PULLUP : P1 : : : : 2 : RESERVED_INPUT_WITH_WEAK_PULLUP : P2 : : : : 2 : RESERVED_INPUT_WITH_WEAK_PULLUP : P3 : : : : 3 : VCCIO3 : P4 : power : : 1.2V : 3 : GND : P5 : gnd : : : : VREFB3N0 : P6 : : : 0.6V : 3 : VCCIO3 : P7 : power : : 1.2V : 3 : RESERVED_INPUT_WITH_WEAK_PULLUP : P8 : : : : 3 : GPIO_1_D[13] : P9 : output : 3.3-V LVTTL : : 4 : Y VCCIO4 : P10 : power : : 3.3V : 4 : GPIO_1_D[10] : P11 : output : 3.3-V LVTTL : : 4 : Y GND : P12 : gnd : : : : VCCIO4 : P13 : power : : 3.3V : 4 : GPIO_1_D[25] : P14 : output : 3.3-V LVTTL : : 4 : Y GPIO_1_D[20] : P15 : output : 3.3-V LVTTL : : 5 : Y GPIO_1_D[21] : P16 : output : 3.3-V LVTTL : : 5 : Y RESERVED_INPUT_WITH_WEAK_PULLUP : R1 : : : : 2 : GND : R2 : gnd : : : : RESERVED_INPUT_WITH_WEAK_PULLUP : R3 : : : : 3 : RESERVED_INPUT_WITH_WEAK_PULLUP : R4 : : : : 3 : RESERVED_INPUT_WITH_WEAK_PULLUP : R5 : : : : 3 : RESERVED_INPUT_WITH_WEAK_PULLUP : R6 : : : : 3 : RESERVED_INPUT_WITH_WEAK_PULLUP : R7 : : : : 3 : CLOCK_50 : R8 : input : 1.2-V HSTL Class II : : 3 : Y GND+ : R9 : : : : 4 : GPIO_1_D[11] : R10 : output : 3.3-V LVTTL : : 4 : Y GPIO_1_D[9] : R11 : output : 3.3-V LVTTL : : 4 : Y GPIO_1_D[6] : R12 : output : 3.3-V LVTTL : : 4 : Y GPIO_1_D[4] : R13 : output : 3.3-V LVTTL : : 4 : Y GPIO_1_D[22] : R14 : output : 3.3-V LVTTL : : 4 : Y GND : R15 : gnd : : : : GPIO_1_D[18] : R16 : output : 3.3-V LVTTL : : 5 : Y VCCIO3 : T1 : power : : 1.2V : 3 : RESERVED_INPUT_WITH_WEAK_PULLUP : T2 : : : : 3 : RESERVED_INPUT_WITH_WEAK_PULLUP : T3 : : : : 3 : RESERVED_INPUT_WITH_WEAK_PULLUP : T4 : : : : 3 : RESERVED_INPUT_WITH_WEAK_PULLUP : T5 : : : : 3 : RESERVED_INPUT_WITH_WEAK_PULLUP : T6 : : : : 3 : RESERVED_INPUT_WITH_WEAK_PULLUP : T7 : : : : 3 : GND+ : T8 : : : : 3 : GND+ : T9 : : : : 4 : GPIO_1_D[8] : T10 : output : 3.3-V LVTTL : : 4 : Y RESERVED_INPUT_WITH_WEAK_PULLUP : T11 : : : : 4 : RESERVED_INPUT_WITH_WEAK_PULLUP : T12 : : : : 4 : RESERVED_INPUT_WITH_WEAK_PULLUP : T13 : : : : 4 : GPIO_1_D[2] : T14 : output : 3.3-V LVTTL : : 4 : Y RESERVED_INPUT_WITH_WEAK_PULLUP : T15 : : : : 4 : VCCIO4 : T16 : power : : 3.3V : 4 :