From fc03ac055e87efe978f7f02959038f71f8615bd3 Mon Sep 17 00:00:00 2001 From: adrizcorp Date: Fri, 20 Oct 2023 19:58:14 -0700 Subject: [PATCH] Working 10G hw for ZCu106 --- Docs/Possible_Improvements/pl_eth_10g.pdf | 5811 + src/constraints/constraints.xdc | 45 + .../pl_eth_10g.cache/wt/gui_handlers.wdf | 46 + .../wt/java_command_handlers.wdf | 22 + .../pl_eth_10g.cache/wt/project.wpc | 3 + .../pl_eth_10g.cache/wt/synthesis.wdf | 39 + .../pl_eth_10g.cache/wt/synthesis_details.wdf | 3 + .../pl_eth_10g.cache/wt/webtalk_pa.xml | 94 + .../bd/pl_eth_10g/hdl/pl_eth_10g_wrapper.v | 44 + .../bd/pl_eth_10g/hw_handoff/pl_eth_10g.hwh | 14004 + .../pl_eth_10g/hw_handoff/pl_eth_10g_bd.tcl | 2060 + .../pl_eth_10g_auto_cc_0.xml | 4681 + .../pl_eth_10g_auto_cc_0_clocks.xdc | 33 + .../pl_eth_10g_auto_cc_0_ooc.xdc | 49 + .../sim/pl_eth_10g_auto_cc_0.cpp | 1016 + .../sim/pl_eth_10g_auto_cc_0.h | 460 + .../sim/pl_eth_10g_auto_cc_0.v | 290 + .../sim/pl_eth_10g_auto_cc_0_sc.cpp | 97 + .../sim/pl_eth_10g_auto_cc_0_sc.h | 98 + .../sim/pl_eth_10g_auto_cc_0_stub.sv | 194 + .../synth/pl_eth_10g_auto_cc_0.v | 292 + .../sysc/axi_clock_converter.cpp | 24 + .../sysc/axi_clock_converter.h | 27 + .../pl_eth_10g_auto_cc_1.xml | 4681 + .../pl_eth_10g_auto_cc_1_clocks.xdc | 33 + .../pl_eth_10g_auto_cc_1_ooc.xdc | 49 + .../sim/pl_eth_10g_auto_cc_1.cpp | 1046 + .../sim/pl_eth_10g_auto_cc_1.h | 490 + .../sim/pl_eth_10g_auto_cc_1.v | 308 + .../sim/pl_eth_10g_auto_cc_1_sc.cpp | 97 + .../sim/pl_eth_10g_auto_cc_1_sc.h | 98 + .../sim/pl_eth_10g_auto_cc_1_stub.sv | 212 + .../synth/pl_eth_10g_auto_cc_1.v | 310 + .../sysc/axi_clock_converter.cpp | 24 + .../sysc/axi_clock_converter.h | 27 + .../pl_eth_10g_auto_ds_0.xml | 4382 + .../pl_eth_10g_auto_ds_0_clocks.xdc | 7 + .../pl_eth_10g_auto_ds_0_ooc.xdc | 49 + .../sim/pl_eth_10g_auto_ds_0.cpp | 1242 + .../sim/pl_eth_10g_auto_ds_0.h | 668 + .../sim/pl_eth_10g_auto_ds_0.v | 391 + .../sim/pl_eth_10g_auto_ds_0_sc.cpp | 96 + .../sim/pl_eth_10g_auto_ds_0_sc.h | 98 + .../sim/pl_eth_10g_auto_ds_0_stub.sv | 314 + .../src/axi_dwidth_converter.cpp | 527 + .../src/axi_dwidth_converter.h | 116 + .../synth/pl_eth_10g_auto_ds_0.v | 393 + .../pl_eth_10g_auto_ds_1.xml | 4382 + .../pl_eth_10g_auto_ds_1_clocks.xdc | 7 + .../pl_eth_10g_auto_ds_1_ooc.xdc | 49 + .../sim/pl_eth_10g_auto_ds_1.cpp | 1242 + .../sim/pl_eth_10g_auto_ds_1.h | 668 + .../sim/pl_eth_10g_auto_ds_1.v | 391 + .../sim/pl_eth_10g_auto_ds_1_sc.cpp | 96 + .../sim/pl_eth_10g_auto_ds_1_sc.h | 98 + .../sim/pl_eth_10g_auto_ds_1_stub.sv | 314 + .../src/axi_dwidth_converter.cpp | 527 + .../src/axi_dwidth_converter.h | 116 + .../synth/pl_eth_10g_auto_ds_1.v | 393 + .../pl_eth_10g_auto_pc_0.xml | 4557 + .../pl_eth_10g_auto_pc_0_ooc.xdc | 50 + .../sim/pl_eth_10g_auto_pc_0.cpp | 1090 + .../sim/pl_eth_10g_auto_pc_0.h | 538 + .../sim/pl_eth_10g_auto_pc_0.v | 345 + .../sim/pl_eth_10g_auto_pc_0_sc.cpp | 96 + .../sim/pl_eth_10g_auto_pc_0_sc.h | 98 + .../sim/pl_eth_10g_auto_pc_0_stub.sv | 254 + .../src/axi_protocol_converter.cpp | 25 + .../src/axi_protocol_converter.h | 24 + .../synth/pl_eth_10g_auto_pc_0.v | 347 + .../pl_eth_10g_auto_pc_1.xml | 4557 + .../pl_eth_10g_auto_pc_1_ooc.xdc | 50 + .../sim/pl_eth_10g_auto_pc_1.cpp | 1089 + .../sim/pl_eth_10g_auto_pc_1.h | 538 + .../sim/pl_eth_10g_auto_pc_1.v | 345 + .../sim/pl_eth_10g_auto_pc_1_sc.cpp | 96 + .../sim/pl_eth_10g_auto_pc_1_sc.h | 98 + .../sim/pl_eth_10g_auto_pc_1_stub.sv | 254 + .../src/axi_protocol_converter.cpp | 25 + .../src/axi_protocol_converter.h | 24 + .../synth/pl_eth_10g_auto_pc_1.v | 347 + .../pl_eth_10g_auto_us_0.xml | 4382 + .../pl_eth_10g_auto_us_0_clocks.xdc | 7 + .../pl_eth_10g_auto_us_0_ooc.xdc | 49 + .../sim/pl_eth_10g_auto_us_0.cpp | 1222 + .../sim/pl_eth_10g_auto_us_0.h | 648 + .../sim/pl_eth_10g_auto_us_0.v | 379 + .../sim/pl_eth_10g_auto_us_0_sc.cpp | 96 + .../sim/pl_eth_10g_auto_us_0_sc.h | 98 + .../sim/pl_eth_10g_auto_us_0_stub.sv | 302 + .../src/axi_dwidth_converter.cpp | 527 + .../src/axi_dwidth_converter.h | 116 + .../synth/pl_eth_10g_auto_us_0.v | 381 + .../pl_eth_10g_auto_us_1.xml | 4382 + .../pl_eth_10g_auto_us_1_clocks.xdc | 7 + .../pl_eth_10g_auto_us_1_ooc.xdc | 49 + .../sim/pl_eth_10g_auto_us_1.cpp | 1006 + .../sim/pl_eth_10g_auto_us_1.h | 450 + .../sim/pl_eth_10g_auto_us_1.v | 265 + .../sim/pl_eth_10g_auto_us_1_sc.cpp | 96 + .../sim/pl_eth_10g_auto_us_1_sc.h | 98 + .../sim/pl_eth_10g_auto_us_1_stub.sv | 188 + .../src/axi_dwidth_converter.cpp | 527 + .../src/axi_dwidth_converter.h | 116 + .../synth/pl_eth_10g_auto_us_1.v | 267 + .../pl_eth_10g_auto_us_2.xml | 4382 + .../pl_eth_10g_auto_us_2_clocks.xdc | 7 + .../pl_eth_10g_auto_us_2_ooc.xdc | 49 + .../sim/pl_eth_10g_auto_us_2.cpp | 1036 + .../sim/pl_eth_10g_auto_us_2.h | 480 + .../sim/pl_eth_10g_auto_us_2.v | 283 + .../sim/pl_eth_10g_auto_us_2_sc.cpp | 96 + .../sim/pl_eth_10g_auto_us_2_sc.h | 98 + .../sim/pl_eth_10g_auto_us_2_stub.sv | 206 + .../src/axi_dwidth_converter.cpp | 527 + .../src/axi_dwidth_converter.h | 116 + .../synth/pl_eth_10g_auto_us_2.v | 285 + .../pl_eth_10g_axi_dma_0_0.xdc | 65 + .../pl_eth_10g_axi_dma_0_0.xml | 8893 + .../pl_eth_10g_axi_dma_0_0_clocks.xdc | 46 + .../sim/pl_eth_10g_axi_dma_0_0.vhd | 569 + .../synth/pl_eth_10g_axi_dma_0_0.vhd | 576 + .../pl_eth_10g_axi_pl_ps_0.xml | 1645 + .../pl_eth_10g_dma_rx_rst_0.xml | 270 + .../sim/pl_eth_10g_dma_rx_rst_0.v | 72 + .../synth/pl_eth_10g_dma_rx_rst_0.v | 73 + .../pl_eth_10g_dma_tx_rst_0.xml | 270 + .../sim/pl_eth_10g_dma_tx_rst_0.v | 72 + .../synth/pl_eth_10g_dma_tx_rst_0.v | 73 + .../pl_eth_10g_ps_axi_periph_0.xml | 1644 + .../pl_eth_10g_rst_ps8_0_99M_0.xdc | 49 + .../pl_eth_10g_rst_ps8_0_99M_0.xml | 897 + .../pl_eth_10g_rst_ps8_0_99M_0_board.xdc | 2 + .../sim/pl_eth_10g_rst_ps8_0_99M_0.vhd | 147 + .../synth/pl_eth_10g_rst_ps8_0_99M_0.vhd | 153 + .../pl_eth_10g_rx_data_fifo_0.xml | 2074 + .../sim/pl_eth_10g_rx_data_fifo_0.v | 162 + .../synth/pl_eth_10g_rx_data_fifo_0.v | 164 + .../pl_eth_10g_rx_rst_n_0.xml | 270 + .../sim/pl_eth_10g_rx_rst_n_0.v | 72 + .../synth/pl_eth_10g_rx_rst_n_0.v | 73 + .../pl_eth_10g_s00_mmu_0.xml | 7873 + .../sim/pl_eth_10g_s00_mmu_0.v | 385 + .../synth/pl_eth_10g_s00_mmu_0.v | 387 + .../pl_eth_10g_s01_mmu_0.xml | 7873 + .../sim/pl_eth_10g_s01_mmu_0.v | 277 + .../synth/pl_eth_10g_s01_mmu_0.v | 279 + .../pl_eth_10g_s02_mmu_0.xml | 7875 + .../sim/pl_eth_10g_s02_mmu_0.v | 295 + .../synth/pl_eth_10g_s02_mmu_0.v | 297 + .../pl_eth_10g_sfp_tx_dis_0.xml | 273 + .../sim/pl_eth_10g_sfp_tx_dis_0.cpp | 73 + .../sim/pl_eth_10g_sfp_tx_dis_0.h | 65 + .../sim/pl_eth_10g_sfp_tx_dis_0.v | 68 + .../sim/pl_eth_10g_sfp_tx_dis_0_stub.sv | 86 + .../sim/xlconstant_v1_1_7.h | 69 + .../synth/pl_eth_10g_sfp_tx_dis_0.v | 69 + .../pl_eth_10g_tx_data_fifo_0.xml | 2074 + .../sim/pl_eth_10g_tx_data_fifo_0.v | 156 + .../synth/pl_eth_10g_tx_data_fifo_0.v | 158 + .../pl_eth_10g_tx_rst_n_0.xml | 270 + .../sim/pl_eth_10g_tx_rst_n_0.v | 72 + .../synth/pl_eth_10g_tx_rst_n_0.v | 73 + .../pl_eth_10g_util_vector_logic_0_0.xml | 270 + .../sim/pl_eth_10g_util_vector_logic_0_0.v | 72 + .../synth/pl_eth_10g_util_vector_logic_0_0.v | 73 + .../pl_eth_10g_xbar_0/pl_eth_10g_xbar_0.xml | 47754 ++++ .../sim/pl_eth_10g_xbar_0.cpp | 6705 + .../pl_eth_10g_xbar_0/sim/pl_eth_10g_xbar_0.h | 2851 + .../pl_eth_10g_xbar_0/sim/pl_eth_10g_xbar_0.v | 424 + .../sim/pl_eth_10g_xbar_0_sc.cpp | 113 + .../sim/pl_eth_10g_xbar_0_sc.h | 102 + .../sim/pl_eth_10g_xbar_0_stub.sv | 320 + .../ip/pl_eth_10g_xbar_0/src/axi_crossbar.cpp | 269 + .../ip/pl_eth_10g_xbar_0/src/axi_crossbar.h | 85 + .../synth/pl_eth_10g_xbar_0.v | 427 + .../pl_eth_10g_xbar_1/pl_eth_10g_xbar_1.xml | 47724 ++++ .../sim/pl_eth_10g_xbar_1.cpp | 7051 + .../pl_eth_10g_xbar_1/sim/pl_eth_10g_xbar_1.h | 3081 + .../pl_eth_10g_xbar_1/sim/pl_eth_10g_xbar_1.v | 436 + .../sim/pl_eth_10g_xbar_1_sc.cpp | 113 + .../sim/pl_eth_10g_xbar_1_sc.h | 102 + .../sim/pl_eth_10g_xbar_1_stub.sv | 332 + .../ip/pl_eth_10g_xbar_1/src/axi_crossbar.cpp | 269 + .../ip/pl_eth_10g_xbar_1/src/axi_crossbar.h | 85 + .../synth/pl_eth_10g_xbar_1.v | 439 + .../pl_eth_10g_xlconcat_0_0.xml | 5065 + .../sim/pl_eth_10g_xlconcat_0_0.v | 328 + .../synth/pl_eth_10g_xlconcat_0_0.v | 332 + .../pl_eth_10g_xlconstant_0_0.xml | 274 + .../sim/pl_eth_10g_xlconstant_0_0.cpp | 73 + .../sim/pl_eth_10g_xlconstant_0_0.h | 65 + .../sim/pl_eth_10g_xlconstant_0_0.v | 68 + .../sim/pl_eth_10g_xlconstant_0_0_stub.sv | 86 + .../sim/xlconstant_v1_1_7.h | 69 + .../synth/pl_eth_10g_xlconstant_0_0.v | 69 + .../pl_eth_10g_xlconstant_1_0.xml | 273 + .../sim/pl_eth_10g_xlconstant_1_0.cpp | 73 + .../sim/pl_eth_10g_xlconstant_1_0.h | 65 + .../sim/pl_eth_10g_xlconstant_1_0.v | 68 + .../sim/pl_eth_10g_xlconstant_1_0_stub.sv | 86 + .../sim/xlconstant_v1_1_7.h | 69 + .../synth/pl_eth_10g_xlconstant_1_0.v | 69 + .../pl_eth_10g_xlconstant_2_0.xml | 274 + .../sim/pl_eth_10g_xlconstant_2_0.cpp | 73 + .../sim/pl_eth_10g_xlconstant_2_0.h | 65 + .../sim/pl_eth_10g_xlconstant_2_0.v | 68 + .../sim/pl_eth_10g_xlconstant_2_0_stub.sv | 86 + .../sim/xlconstant_v1_1_7.h | 69 + .../synth/pl_eth_10g_xlconstant_2_0.v | 69 + .../an_lt_lpm_dfe_gt_drp.txt | 1 + .../pl_eth_10g_xxv_ethernet_0_0/core_xdc.txt | 1 + ...pl_eth_10g_xxv_ethernet_0_0_axi4lite_reg.h | 3505 + .../ip_0/pl_eth_10g_xxv_ethernet_0_0_gt.xci | 1410 + .../ip_0/pl_eth_10g_xxv_ethernet_0_0_gt.xml | 24001 ++ .../gtwizard_ultrascale_v1_7_gthe4_channel.v | 3697 + .../ip_0/sim/pl_eth_10g_xxv_ethernet_0_0_gt.v | 811 + ...xv_ethernet_0_0_gt_gthe4_channel_wrapper.v | 1735 + ...h_10g_xxv_ethernet_0_0_gt_gtwizard_gthe4.v | 3846 + ...eth_10g_xxv_ethernet_0_0_gt_gtwizard_top.v | 3401 + .../gtwizard_ultrascale_v1_7_gthe4_channel.v | 3697 + .../synth/pl_eth_10g_xxv_ethernet_0_0_gt.v | 817 + .../synth/pl_eth_10g_xxv_ethernet_0_0_gt.xdc | 86 + ...xv_ethernet_0_0_gt_gthe4_channel_wrapper.v | 1735 + ...h_10g_xxv_ethernet_0_0_gt_gtwizard_gthe4.v | 3846 + ...eth_10g_xxv_ethernet_0_0_gt_gtwizard_top.v | 3401 + .../pl_eth_10g_xxv_ethernet_0_0_gt_ooc.xdc | 96 + .../pl_eth_10g_xxv_ethernet_0_0.v | 587 + .../pl_eth_10g_xxv_ethernet_0_0.xml | 129606 +++++++++ .../gtwizard_ultrascale_v1_7_gthe4_common.v | 928 + ...xxv_ethernet_0_0_gt_gthe4_common_wrapper.v | 397 + .../synth/pl_eth_10g_xxv_ethernet_0_0.xdc | 165 + .../pl_eth_10g_xxv_ethernet_0_0_board.xdc | 2 + .../synth/pl_eth_10g_xxv_ethernet_0_0_ooc.xdc | 91 + ...0g_xxv_ethernet_0_0_axi4_lite_if_wrapper.v | 6360 + ..._eth_10g_xxv_ethernet_0_0_common_wrapper.v | 195 + .../pl_eth_10g_xxv_ethernet_0_0_top.v | 848 + ...g_xxv_ethernet_0_0_ultrascale_rx_userclk.v | 153 + ...g_xxv_ethernet_0_0_ultrascale_tx_userclk.v | 151 + .../pl_eth_10g_xxv_ethernet_0_0_wrapper.v | 1098 + .../hdl/pl_eth_10g_zynq_ultra_ps_e_0_0.hwdef | Bin 0 -> 863896 bytes .../hdl/zynq_ultra_ps_e_v3_3_3.v | 5063 + .../pl_eth_10g_zynq_ultra_ps_e_0_0.xdc | 26 + .../pl_eth_10g_zynq_ultra_ps_e_0_0.xml | 82951 ++++++ .../pl_eth_10g_zynq_ultra_ps_e_0_0_ooc.xdc | 3 + .../pl_eth_10g_zynq_ultra_ps_e_0_0/psu_init.c | 23824 ++ .../pl_eth_10g_zynq_ultra_ps_e_0_0/psu_init.h | 38619 +++ .../psu_init.html | 3087 + .../psu_init.tcl | 18127 ++ .../psu_init_gpl.c | 23833 ++ .../psu_init_gpl.h | 38633 +++ .../sim/pl_eth_10g_zynq_ultra_ps_e_0_0.cpp | 1240 + .../sim/pl_eth_10g_zynq_ultra_ps_e_0_0.h | 688 + .../sim/pl_eth_10g_zynq_ultra_ps_e_0_0_sc.cpp | 117 + .../sim/pl_eth_10g_zynq_ultra_ps_e_0_0_sc.h | 98 + .../pl_eth_10g_zynq_ultra_ps_e_0_0_stub.sv | 338 + ..._eth_10g_zynq_ultra_ps_e_0_0_vip_wrapper.v | 845 + .../sim_tlm/b_transport_converter.h | 170 + .../sim_tlm/xilinx_zynqmp.cpp | 343 + .../sim_tlm/xilinx_zynqmp.h | 172 + .../sim_tlm/zynq_ultra_ps_e_tlm.cpp | 254 + .../sim_tlm/zynq_ultra_ps_e_tlm.h | 210 + .../synth/pl_eth_10g_zynq_ultra_ps_e_0_0.v | 1852 + .../ipshared/0513/hdl/lib_pkg_v1_0_rfs.vhd | 413 + .../05d5/hdl/xxv_ethernet_v3_3_vl_rfs.sv | 209447 +++++++++++++++ .../hdl/axi_clock_converter_v2_1_vl_rfs.v | 2177 + .../hdl/axi_dwidth_converter_v2_1_vl_rfs.v | 15354 ++ .../hdl/axi_dwidth_converter_v2_1_vlsyn_rfs.v | 15354 ++ .../276e/hdl/fifo_generator_v13_2_rfs.v | 7777 + .../276e/hdl/fifo_generator_v13_2_rfs.vhd | 18837 ++ .../hdl/fifo_generator_v13_2_vhsyn_rfs.vhd | 31975 +++ .../276e/simulation/fifo_generator_vlog_beh.v | 10519 + .../2985/hdl/blk_mem_gen_v8_4_vhsyn_rfs.vhd | 193196 +++++++++++++ .../2985/simulation/blk_mem_gen_v8_4.v | 4522 + .../3f90/hdl/util_vector_logic_v2_0_vl_rfs.v | 80 + .../ipshared/4919/hdl/axi_sg_v4_1_rfs.vhd | 29829 ++ .../4ab6/hdl/axi_datamover_v5_1_vh_rfs.vhd | 56544 ++++ .../ipshared/4b67/hdl/xlconcat_v2_1_vl_rfs.v | 1041 + .../51ce/hdl/lib_srl_fifo_v1_0_rfs.vhd | 1032 + .../54c0/hdl/axi_data_fifo_v2_1_vl_rfs.v | 1956 + .../hdl/axi_protocol_converter_v2_1_vl_rfs.v | 5588 + .../8713/hdl/axis_infrastructure_v1_1_0.vh | 337 + .../hdl/axis_infrastructure_v1_1_vl_rfs.v | 1324 + .../8842/hdl/proc_sys_reset_v5_0_vh_rfs.vhd | 1646 + .../ipshared/88c9/hdl/axi_mmu_v2_1_vl_rfs.v | 1271 + .../ipshared/89d8/hdl/axi_dma_v7_1_vh_rfs.vhd | 24060 ++ .../ipshared/94c3/hdl/axi_vip_v1_1_vl_rfs.sv | 633 + .../ipshared/a5cb/hdl/lib_fifo_v1_0_rfs.vhd | 3342 + .../abd4/hdl/axis_data_fifo_v2_0_vl_rfs.v | 667 + .../af2c/hdl/axi_register_slice_v2_1_vl_rfs.v | 4843 + .../b752/hdl/generic_baseblocks_v2_1_vl_rfs.v | 2930 + .../bc0a/hdl/axi_crossbar_v2_1_vl_rfs.v | 5804 + .../hdl/gtwizard_ultrascale_v1_7_bit_sync.v | 88 + .../gtwizard_ultrascale_v1_7_gte4_drp_arb.v | 608 + ...wizard_ultrascale_v1_7_gthe3_cal_freqcnt.v | 224 + .../gtwizard_ultrascale_v1_7_gthe3_cpll_cal.v | 748 + ...wizard_ultrascale_v1_7_gthe4_cal_freqcnt.v | 224 + .../gtwizard_ultrascale_v1_7_gthe4_cpll_cal.v | 346 + ...wizard_ultrascale_v1_7_gthe4_cpll_cal_rx.v | 1091 + ...wizard_ultrascale_v1_7_gthe4_cpll_cal_tx.v | 1175 + ...rd_ultrascale_v1_7_gthe4_delay_powergood.v | 170 + ...zard_ultrascale_v1_7_gtwiz_buffbypass_rx.v | 245 + ...zard_ultrascale_v1_7_gtwiz_buffbypass_tx.v | 258 + .../gtwizard_ultrascale_v1_7_gtwiz_reset.v | 766 + ...twizard_ultrascale_v1_7_gtwiz_userclk_rx.v | 140 + ...twizard_ultrascale_v1_7_gtwiz_userclk_tx.v | 140 + ...wizard_ultrascale_v1_7_gtwiz_userdata_rx.v | 219 + ...wizard_ultrascale_v1_7_gtwiz_userdata_tx.v | 249 + ...wizard_ultrascale_v1_7_gtye4_cal_freqcnt.v | 224 + .../gtwizard_ultrascale_v1_7_gtye4_cpll_cal.v | 346 + ...wizard_ultrascale_v1_7_gtye4_cpll_cal_rx.v | 1091 + ...wizard_ultrascale_v1_7_gtye4_cpll_cal_tx.v | 1175 + ...rd_ultrascale_v1_7_gtye4_delay_powergood.v | 170 + .../gtwizard_ultrascale_v1_7_reset_inv_sync.v | 98 + .../hdl/gtwizard_ultrascale_v1_7_reset_sync.v | 98 + .../hdl/zynq_ultra_ps_e_vip_v1_0_8_apis.sv | 952 + .../hdl/zynq_ultra_ps_e_vip_v1_0_8_axi_ace.sv | 101 + .../hdl/zynq_ultra_ps_e_vip_v1_0_8_axi_acp.sv | 102 + .../hdl/zynq_ultra_ps_e_vip_v1_0_8_axi_gp.sv | 881 + ...zynq_ultra_ps_e_vip_v1_0_8_local_params.sv | 203 + .../zynq_ultra_ps_e_vip_v1_0_8_reg_init.sv | 14382 + .../zynq_ultra_ps_e_vip_v1_0_8_reg_params.sv | 28944 ++ ...zynq_ultra_ps_e_vip_v1_0_8_unused_ports.sv | 814 + .../hdl/zynq_ultra_ps_e_vip_v1_0_vl_rfs.sv | 12376 + .../ec67/hdl/axi_infrastructure_v1_1_0.vh | 138 + .../ec67/hdl/axi_infrastructure_v1_1_vl_rfs.v | 670 + .../ipshared/ef1e/hdl/lib_cdc_v1_0_rfs.vhd | 1263 + .../fcfc/hdl/xlconstant_v1_1_vl_rfs.v | 31 + .../sources_1/bd/pl_eth_10g/pl_eth_10g.bda | 294 + .../sources_1/bd/pl_eth_10g/pl_eth_10g.bxml | 55 + .../bd/pl_eth_10g/pl_eth_10g_ooc.xdc | 11 + .../bd/pl_eth_10g/sim/pl_eth_10g.protoinst | 2387 + .../sources_1/bd/pl_eth_10g/sim/pl_eth_10g.v | 5854 + .../bd/pl_eth_10g/synth/pl_eth_10g.hwdef | Bin 0 -> 101282 bytes .../bd/pl_eth_10g/synth/pl_eth_10g.v | 5854 + src/pl_eth_10g_hw/pl_eth_10g.hw/hw_1/hw.xml | 23 + .../pl_eth_10g.hw/pl_eth_10g.lpr | 8 + .../pl_eth_10g.runs/.jobs/vrs_config_1.xml | 9 + .../pl_eth_10g.runs/.jobs/vrs_config_2.xml | 9 + .../pl_eth_10g.runs/.jobs/vrs_config_3.xml | 9 + .../pl_eth_10g.runs/.jobs/vrs_config_4.xml | 9 + .../pl_eth_10g.runs/.jobs/vrs_config_5.xml | 9 + .../pl_eth_10g.runs/.jobs/vrs_config_6.xml | 9 + .../pl_eth_10g.runs/.jobs/vrs_config_7.xml | 9 + .../impl_1/.Vivado_Implementation.queue.rst | 0 .../impl_1/.init_design.begin.rst | 5 + .../impl_1/.init_design.end.rst | 0 .../impl_1/.opt_design.begin.rst | 5 + .../impl_1/.opt_design.end.rst | 0 .../impl_1/.phys_opt_design.begin.rst | 5 + .../impl_1/.phys_opt_design.end.rst | 0 .../impl_1/.place_design.begin.rst | 5 + .../impl_1/.place_design.end.rst | 0 .../impl_1/.route_design.begin.rst | 5 + .../impl_1/.route_design.end.rst | 0 .../pl_eth_10g.runs/impl_1/.vivado.begin.rst | 10 + .../pl_eth_10g.runs/impl_1/.vivado.end.rst | 0 .../impl_1/.write_bitstream.begin.rst | 5 + .../impl_1/.write_bitstream.end.rst | 0 .../pl_eth_10g.runs/impl_1/ISEWrap.js | 270 + .../pl_eth_10g.runs/impl_1/ISEWrap.sh | 67 + .../pl_eth_10g.runs/impl_1/gen_run.xml | 130 + .../pl_eth_10g.runs/impl_1/htr.txt | 9 + .../pl_eth_10g.runs/impl_1/init_design.pb | Bin 0 -> 16188 bytes .../pl_eth_10g.runs/impl_1/opt_design.pb | Bin 0 -> 14095 bytes .../pl_eth_10g.runs/impl_1/phys_opt_design.pb | Bin 0 -> 2524 bytes .../impl_1/pl_eth_10g_wrapper.bit | Bin 0 -> 19311215 bytes .../impl_1/pl_eth_10g_wrapper.hwdef | Bin 0 -> 964875 bytes .../impl_1/pl_eth_10g_wrapper.tcl | 155 + .../impl_1/pl_eth_10g_wrapper.vdi | 853 + .../pl_eth_10g_wrapper_69332.backup.vdi | 762 + .../pl_eth_10g_wrapper_bus_skew_routed.pb | 2 + .../pl_eth_10g_wrapper_bus_skew_routed.rpt | 1889 + .../pl_eth_10g_wrapper_bus_skew_routed.rpx | Bin 0 -> 496811 bytes ...h_10g_wrapper_clock_utilization_routed.rpt | 747 + ...pl_eth_10g_wrapper_control_sets_placed.rpt | 1161 + .../impl_1/pl_eth_10g_wrapper_drc_opted.pb | Bin 0 -> 37 bytes .../impl_1/pl_eth_10g_wrapper_drc_opted.rpt | 57 + .../impl_1/pl_eth_10g_wrapper_drc_opted.rpx | Bin 0 -> 8465 bytes .../impl_1/pl_eth_10g_wrapper_drc_routed.pb | Bin 0 -> 76 bytes .../impl_1/pl_eth_10g_wrapper_drc_routed.rpt | 78 + .../impl_1/pl_eth_10g_wrapper_drc_routed.rpx | Bin 0 -> 17866 bytes .../impl_1/pl_eth_10g_wrapper_io_placed.rpt | 1198 + ..._eth_10g_wrapper_methodology_drc_routed.pb | Bin 0 -> 52 bytes ...eth_10g_wrapper_methodology_drc_routed.rpt | 250 + ...eth_10g_wrapper_methodology_drc_routed.rpx | Bin 0 -> 94617 bytes .../impl_1/pl_eth_10g_wrapper_opt.dcp | Bin 0 -> 13649164 bytes .../impl_1/pl_eth_10g_wrapper_physopt.dcp | Bin 0 -> 19195252 bytes .../impl_1/pl_eth_10g_wrapper_placed.dcp | Bin 0 -> 19191809 bytes .../pl_eth_10g_wrapper_power_routed.rpt | 195 + .../pl_eth_10g_wrapper_power_routed.rpx | Bin 0 -> 16023442 bytes ...pl_eth_10g_wrapper_power_summary_routed.pb | Bin 0 -> 711 bytes .../impl_1/pl_eth_10g_wrapper_route_status.pb | Bin 0 -> 45 bytes .../pl_eth_10g_wrapper_route_status.rpt | 12 + .../impl_1/pl_eth_10g_wrapper_routed.dcp | Bin 0 -> 24290431 bytes ...l_eth_10g_wrapper_timing_summary_routed.pb | Bin 0 -> 112 bytes ..._eth_10g_wrapper_timing_summary_routed.rpt | 9331 + ..._eth_10g_wrapper_timing_summary_routed.rpx | Bin 0 -> 1009826 bytes .../pl_eth_10g_wrapper_utilization_placed.pb | Bin 0 -> 308 bytes .../pl_eth_10g_wrapper_utilization_placed.rpt | 255 + .../pl_eth_10g.runs/impl_1/place_design.pb | Bin 0 -> 124625 bytes .../pl_eth_10g.runs/impl_1/project.wdf | 64 + .../pl_eth_10g.runs/impl_1/route_design.pb | Bin 0 -> 19787 bytes .../pl_eth_10g.runs/impl_1/rundef.js | 40 + .../pl_eth_10g.runs/impl_1/runme.bat | 10 + .../pl_eth_10g.runs/impl_1/runme.log | 853 + .../pl_eth_10g.runs/impl_1/runme.sh | 47 + .../pl_eth_10g.runs/impl_1/vivado.jou | 12 + .../pl_eth_10g.runs/impl_1/vivado.pb | Bin 0 -> 149 bytes .../impl_1/vivado_69332.backup.jou | 12 + .../pl_eth_10g.runs/impl_1/write_bitstream.pb | Bin 0 -> 25656 bytes .../synth_1/.Vivado_Synthesis.queue.rst | 0 .../.Xil/pl_eth_10g_wrapper_propImpl.xdc | 193 + .../pl_eth_10g.runs/synth_1/.vivado.begin.rst | 5 + .../pl_eth_10g.runs/synth_1/.vivado.end.rst | 0 .../pl_eth_10g.runs/synth_1/ISEWrap.js | 270 + .../pl_eth_10g.runs/synth_1/ISEWrap.sh | 67 + .../synth_1/__synthesis_is_complete__ | 0 .../pl_eth_10g.runs/synth_1/dont_touch.xdc | 177 + .../pl_eth_10g.runs/synth_1/gen_run.xml | 56 + .../pl_eth_10g.runs/synth_1/htr.txt | 9 + .../synth_1/pl_eth_10g_wrapper.dcp | Bin 0 -> 11142461 bytes .../synth_1/pl_eth_10g_wrapper.tcl | 162 + .../synth_1/pl_eth_10g_wrapper.vds | 13507 + .../pl_eth_10g_wrapper_utilization_synth.pb | Bin 0 -> 301 bytes .../pl_eth_10g_wrapper_utilization_synth.rpt | 207 + .../pl_eth_10g.runs/synth_1/project.wdf | 64 + .../pl_eth_10g.runs/synth_1/rundef.js | 36 + .../pl_eth_10g.runs/synth_1/runme.bat | 10 + .../pl_eth_10g.runs/synth_1/runme.log | 13313 + .../pl_eth_10g.runs/synth_1/runme.sh | 43 + .../pl_eth_10g.runs/synth_1/vivado.jou | 12 + .../pl_eth_10g.runs/synth_1/vivado.pb | Bin 0 -> 1802530 bytes .../pl_eth_10g_auto_cc_0.xci | 261 + .../pl_eth_10g_auto_cc_1.xci | 262 + .../pl_eth_10g_auto_ds_0.xci | 253 + .../pl_eth_10g_auto_ds_1.xci | 253 + .../pl_eth_10g_auto_pc_0.xci | 243 + .../pl_eth_10g_auto_pc_1.xci | 243 + .../pl_eth_10g_auto_us_0.xci | 253 + .../pl_eth_10g_auto_us_1.xci | 245 + .../pl_eth_10g_auto_us_2.xci | 246 + .../pl_eth_10g_axi_dma_0_0.xci | 563 + .../pl_eth_10g_axi_pl_ps_0.xci | 361 + .../pl_eth_10g_dma_rx_rst_0.xci | 51 + .../pl_eth_10g_dma_tx_rst_0.xci | 51 + .../pl_eth_10g_ps_axi_periph_0.xci | 360 + .../pl_eth_10g_rst_ps8_0_99M_0.xci | 96 + .../pl_eth_10g_rx_data_fifo_0.xci | 183 + .../pl_eth_10g_rx_rst_n_0.xci | 51 + .../pl_eth_10g_s00_mmu_0.xci | 1029 + .../pl_eth_10g_s01_mmu_0.xci | 1022 + .../pl_eth_10g_s02_mmu_0.xci | 1025 + .../pl_eth_10g_sfp_tx_dis_0.xci | 48 + .../pl_eth_10g_tx_data_fifo_0.xci | 183 + .../pl_eth_10g_tx_rst_n_0.xci | 51 + .../pl_eth_10g_util_vector_logic_0_0.xci | 51 + .../pl_eth_10g_xbar_0/pl_eth_10g_xbar_0.xci | 3591 + .../pl_eth_10g_xbar_1/pl_eth_10g_xbar_1.xci | 3563 + .../pl_eth_10g_xlconcat_0_0.xci | 432 + .../pl_eth_10g_xlconstant_0_0.xci | 49 + .../pl_eth_10g_xlconstant_1_0.xci | 48 + .../pl_eth_10g_xlconstant_2_0.xci | 49 + .../pl_eth_10g_xxv_ethernet_0_0.xci | 1214 + .../pl_eth_10g_zynq_ultra_ps_e_0_0.xci | 4307 + .../sources_1/bd/pl_eth_10g/pl_eth_10g.bd | 5441 + .../sources_1/bd/pl_eth_10g/pl_eth_10g.bda | 294 + .../sources_1/bd/pl_eth_10g/ui/bd_293b12bf.ui | 106 + .../sources_1/bd/pl_eth_10g/ui/bd_c090a0bd.ui | 53 + .../sources_1/bd/pl_eth_10g/ui/layout.ui | 67 + src/pl_eth_10g_hw/pl_eth_10g.xpr | 210 + src/pre-built/pl_eth_10g_wrapper.xsa | Bin 0 -> 1935726 bytes 472 files changed, 1514149 insertions(+) create mode 100644 Docs/Possible_Improvements/pl_eth_10g.pdf create mode 100644 src/constraints/constraints.xdc create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.cache/wt/gui_handlers.wdf create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.cache/wt/java_command_handlers.wdf create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.cache/wt/project.wpc create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.cache/wt/synthesis.wdf create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.cache/wt/synthesis_details.wdf create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.cache/wt/webtalk_pa.xml create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/hdl/pl_eth_10g_wrapper.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/hw_handoff/pl_eth_10g.hwh create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/hw_handoff/pl_eth_10g_bd.tcl create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_cc_0/pl_eth_10g_auto_cc_0.xml create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_cc_0/pl_eth_10g_auto_cc_0_clocks.xdc create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_cc_0/pl_eth_10g_auto_cc_0_ooc.xdc create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_cc_0/sim/pl_eth_10g_auto_cc_0.cpp create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_cc_0/sim/pl_eth_10g_auto_cc_0.h create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_cc_0/sim/pl_eth_10g_auto_cc_0.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_cc_0/sim/pl_eth_10g_auto_cc_0_sc.cpp create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_cc_0/sim/pl_eth_10g_auto_cc_0_sc.h create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_cc_0/sim/pl_eth_10g_auto_cc_0_stub.sv create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_cc_0/synth/pl_eth_10g_auto_cc_0.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_cc_0/sysc/axi_clock_converter.cpp create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_cc_0/sysc/axi_clock_converter.h create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_cc_1/pl_eth_10g_auto_cc_1.xml create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_cc_1/pl_eth_10g_auto_cc_1_clocks.xdc create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_cc_1/pl_eth_10g_auto_cc_1_ooc.xdc create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_cc_1/sim/pl_eth_10g_auto_cc_1.cpp create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_cc_1/sim/pl_eth_10g_auto_cc_1.h create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_cc_1/sim/pl_eth_10g_auto_cc_1.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_cc_1/sim/pl_eth_10g_auto_cc_1_sc.cpp create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_cc_1/sim/pl_eth_10g_auto_cc_1_sc.h create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_cc_1/sim/pl_eth_10g_auto_cc_1_stub.sv create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_cc_1/synth/pl_eth_10g_auto_cc_1.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_cc_1/sysc/axi_clock_converter.cpp create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_cc_1/sysc/axi_clock_converter.h create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_ds_0/pl_eth_10g_auto_ds_0.xml create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_ds_0/pl_eth_10g_auto_ds_0_clocks.xdc create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_ds_0/pl_eth_10g_auto_ds_0_ooc.xdc create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_ds_0/sim/pl_eth_10g_auto_ds_0.cpp create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_ds_0/sim/pl_eth_10g_auto_ds_0.h create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_ds_0/sim/pl_eth_10g_auto_ds_0.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_ds_0/sim/pl_eth_10g_auto_ds_0_sc.cpp create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_ds_0/sim/pl_eth_10g_auto_ds_0_sc.h create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_ds_0/sim/pl_eth_10g_auto_ds_0_stub.sv create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_ds_0/src/axi_dwidth_converter.cpp create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_ds_0/src/axi_dwidth_converter.h create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_ds_0/synth/pl_eth_10g_auto_ds_0.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_ds_1/pl_eth_10g_auto_ds_1.xml create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_ds_1/pl_eth_10g_auto_ds_1_clocks.xdc create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_ds_1/pl_eth_10g_auto_ds_1_ooc.xdc create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_ds_1/sim/pl_eth_10g_auto_ds_1.cpp create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_ds_1/sim/pl_eth_10g_auto_ds_1.h create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_ds_1/sim/pl_eth_10g_auto_ds_1.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_ds_1/sim/pl_eth_10g_auto_ds_1_sc.cpp create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_ds_1/sim/pl_eth_10g_auto_ds_1_sc.h create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_ds_1/sim/pl_eth_10g_auto_ds_1_stub.sv create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_ds_1/src/axi_dwidth_converter.cpp create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_ds_1/src/axi_dwidth_converter.h create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_ds_1/synth/pl_eth_10g_auto_ds_1.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_pc_0/pl_eth_10g_auto_pc_0.xml create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_pc_0/pl_eth_10g_auto_pc_0_ooc.xdc create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_pc_0/sim/pl_eth_10g_auto_pc_0.cpp create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_pc_0/sim/pl_eth_10g_auto_pc_0.h create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_pc_0/sim/pl_eth_10g_auto_pc_0.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_pc_0/sim/pl_eth_10g_auto_pc_0_sc.cpp create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_pc_0/sim/pl_eth_10g_auto_pc_0_sc.h create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_pc_0/sim/pl_eth_10g_auto_pc_0_stub.sv create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_pc_0/src/axi_protocol_converter.cpp create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_pc_0/src/axi_protocol_converter.h create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_pc_0/synth/pl_eth_10g_auto_pc_0.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_pc_1/pl_eth_10g_auto_pc_1.xml create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_pc_1/pl_eth_10g_auto_pc_1_ooc.xdc create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_pc_1/sim/pl_eth_10g_auto_pc_1.cpp create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_pc_1/sim/pl_eth_10g_auto_pc_1.h create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_pc_1/sim/pl_eth_10g_auto_pc_1.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_pc_1/sim/pl_eth_10g_auto_pc_1_sc.cpp create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_pc_1/sim/pl_eth_10g_auto_pc_1_sc.h create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_pc_1/sim/pl_eth_10g_auto_pc_1_stub.sv create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_pc_1/src/axi_protocol_converter.cpp create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_pc_1/src/axi_protocol_converter.h create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_pc_1/synth/pl_eth_10g_auto_pc_1.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_us_0/pl_eth_10g_auto_us_0.xml create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_us_0/pl_eth_10g_auto_us_0_clocks.xdc create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_us_0/pl_eth_10g_auto_us_0_ooc.xdc create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_us_0/sim/pl_eth_10g_auto_us_0.cpp create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_us_0/sim/pl_eth_10g_auto_us_0.h create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_us_0/sim/pl_eth_10g_auto_us_0.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_us_0/sim/pl_eth_10g_auto_us_0_sc.cpp create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_us_0/sim/pl_eth_10g_auto_us_0_sc.h create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_us_0/sim/pl_eth_10g_auto_us_0_stub.sv create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_us_0/src/axi_dwidth_converter.cpp create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_us_0/src/axi_dwidth_converter.h create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_us_0/synth/pl_eth_10g_auto_us_0.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_us_1/pl_eth_10g_auto_us_1.xml create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_us_1/pl_eth_10g_auto_us_1_clocks.xdc create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_us_1/pl_eth_10g_auto_us_1_ooc.xdc create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_us_1/sim/pl_eth_10g_auto_us_1.cpp create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_us_1/sim/pl_eth_10g_auto_us_1.h create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_us_1/sim/pl_eth_10g_auto_us_1.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_us_1/sim/pl_eth_10g_auto_us_1_sc.cpp create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_us_1/sim/pl_eth_10g_auto_us_1_sc.h create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_us_1/sim/pl_eth_10g_auto_us_1_stub.sv create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_us_1/src/axi_dwidth_converter.cpp create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_us_1/src/axi_dwidth_converter.h create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_us_1/synth/pl_eth_10g_auto_us_1.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_us_2/pl_eth_10g_auto_us_2.xml create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_us_2/pl_eth_10g_auto_us_2_clocks.xdc create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_us_2/pl_eth_10g_auto_us_2_ooc.xdc create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_us_2/sim/pl_eth_10g_auto_us_2.cpp create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_us_2/sim/pl_eth_10g_auto_us_2.h create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_us_2/sim/pl_eth_10g_auto_us_2.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_us_2/sim/pl_eth_10g_auto_us_2_sc.cpp create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_us_2/sim/pl_eth_10g_auto_us_2_sc.h create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_us_2/sim/pl_eth_10g_auto_us_2_stub.sv create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_us_2/src/axi_dwidth_converter.cpp create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_us_2/src/axi_dwidth_converter.h create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_us_2/synth/pl_eth_10g_auto_us_2.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_axi_dma_0_0/pl_eth_10g_axi_dma_0_0.xdc create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_axi_dma_0_0/pl_eth_10g_axi_dma_0_0.xml create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_axi_dma_0_0/pl_eth_10g_axi_dma_0_0_clocks.xdc create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_axi_dma_0_0/sim/pl_eth_10g_axi_dma_0_0.vhd create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_axi_dma_0_0/synth/pl_eth_10g_axi_dma_0_0.vhd create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_axi_pl_ps_0/pl_eth_10g_axi_pl_ps_0.xml create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_dma_rx_rst_0/pl_eth_10g_dma_rx_rst_0.xml create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_dma_rx_rst_0/sim/pl_eth_10g_dma_rx_rst_0.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_dma_rx_rst_0/synth/pl_eth_10g_dma_rx_rst_0.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_dma_tx_rst_0/pl_eth_10g_dma_tx_rst_0.xml create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_dma_tx_rst_0/sim/pl_eth_10g_dma_tx_rst_0.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_dma_tx_rst_0/synth/pl_eth_10g_dma_tx_rst_0.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_ps_axi_periph_0/pl_eth_10g_ps_axi_periph_0.xml create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_rst_ps8_0_99M_0/pl_eth_10g_rst_ps8_0_99M_0.xdc create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_rst_ps8_0_99M_0/pl_eth_10g_rst_ps8_0_99M_0.xml create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_rst_ps8_0_99M_0/pl_eth_10g_rst_ps8_0_99M_0_board.xdc create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_rst_ps8_0_99M_0/sim/pl_eth_10g_rst_ps8_0_99M_0.vhd create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_rst_ps8_0_99M_0/synth/pl_eth_10g_rst_ps8_0_99M_0.vhd create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_rx_data_fifo_0/pl_eth_10g_rx_data_fifo_0.xml create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_rx_data_fifo_0/sim/pl_eth_10g_rx_data_fifo_0.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_rx_data_fifo_0/synth/pl_eth_10g_rx_data_fifo_0.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_rx_rst_n_0/pl_eth_10g_rx_rst_n_0.xml create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_rx_rst_n_0/sim/pl_eth_10g_rx_rst_n_0.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_rx_rst_n_0/synth/pl_eth_10g_rx_rst_n_0.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_s00_mmu_0/pl_eth_10g_s00_mmu_0.xml create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_s00_mmu_0/sim/pl_eth_10g_s00_mmu_0.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_s00_mmu_0/synth/pl_eth_10g_s00_mmu_0.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_s01_mmu_0/pl_eth_10g_s01_mmu_0.xml create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_s01_mmu_0/sim/pl_eth_10g_s01_mmu_0.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_s01_mmu_0/synth/pl_eth_10g_s01_mmu_0.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_s02_mmu_0/pl_eth_10g_s02_mmu_0.xml create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_s02_mmu_0/sim/pl_eth_10g_s02_mmu_0.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_s02_mmu_0/synth/pl_eth_10g_s02_mmu_0.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_sfp_tx_dis_0/pl_eth_10g_sfp_tx_dis_0.xml create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_sfp_tx_dis_0/sim/pl_eth_10g_sfp_tx_dis_0.cpp create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_sfp_tx_dis_0/sim/pl_eth_10g_sfp_tx_dis_0.h create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_sfp_tx_dis_0/sim/pl_eth_10g_sfp_tx_dis_0.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_sfp_tx_dis_0/sim/pl_eth_10g_sfp_tx_dis_0_stub.sv create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_sfp_tx_dis_0/sim/xlconstant_v1_1_7.h create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_sfp_tx_dis_0/synth/pl_eth_10g_sfp_tx_dis_0.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_tx_data_fifo_0/pl_eth_10g_tx_data_fifo_0.xml create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_tx_data_fifo_0/sim/pl_eth_10g_tx_data_fifo_0.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_tx_data_fifo_0/synth/pl_eth_10g_tx_data_fifo_0.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_tx_rst_n_0/pl_eth_10g_tx_rst_n_0.xml create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_tx_rst_n_0/sim/pl_eth_10g_tx_rst_n_0.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_tx_rst_n_0/synth/pl_eth_10g_tx_rst_n_0.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_util_vector_logic_0_0/pl_eth_10g_util_vector_logic_0_0.xml create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_util_vector_logic_0_0/sim/pl_eth_10g_util_vector_logic_0_0.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_util_vector_logic_0_0/synth/pl_eth_10g_util_vector_logic_0_0.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xbar_0/pl_eth_10g_xbar_0.xml create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xbar_0/sim/pl_eth_10g_xbar_0.cpp create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xbar_0/sim/pl_eth_10g_xbar_0.h create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xbar_0/sim/pl_eth_10g_xbar_0.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xbar_0/sim/pl_eth_10g_xbar_0_sc.cpp create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xbar_0/sim/pl_eth_10g_xbar_0_sc.h create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xbar_0/sim/pl_eth_10g_xbar_0_stub.sv create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xbar_0/src/axi_crossbar.cpp create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xbar_0/src/axi_crossbar.h create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xbar_0/synth/pl_eth_10g_xbar_0.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xbar_1/pl_eth_10g_xbar_1.xml create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xbar_1/sim/pl_eth_10g_xbar_1.cpp create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xbar_1/sim/pl_eth_10g_xbar_1.h create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xbar_1/sim/pl_eth_10g_xbar_1.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xbar_1/sim/pl_eth_10g_xbar_1_sc.cpp create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xbar_1/sim/pl_eth_10g_xbar_1_sc.h create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xbar_1/sim/pl_eth_10g_xbar_1_stub.sv create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xbar_1/src/axi_crossbar.cpp create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xbar_1/src/axi_crossbar.h create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xbar_1/synth/pl_eth_10g_xbar_1.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xlconcat_0_0/pl_eth_10g_xlconcat_0_0.xml create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xlconcat_0_0/sim/pl_eth_10g_xlconcat_0_0.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xlconcat_0_0/synth/pl_eth_10g_xlconcat_0_0.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xlconstant_0_0/pl_eth_10g_xlconstant_0_0.xml create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xlconstant_0_0/sim/pl_eth_10g_xlconstant_0_0.cpp create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xlconstant_0_0/sim/pl_eth_10g_xlconstant_0_0.h create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xlconstant_0_0/sim/pl_eth_10g_xlconstant_0_0.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xlconstant_0_0/sim/pl_eth_10g_xlconstant_0_0_stub.sv create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xlconstant_0_0/sim/xlconstant_v1_1_7.h create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xlconstant_0_0/synth/pl_eth_10g_xlconstant_0_0.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xlconstant_1_0/pl_eth_10g_xlconstant_1_0.xml create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xlconstant_1_0/sim/pl_eth_10g_xlconstant_1_0.cpp create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xlconstant_1_0/sim/pl_eth_10g_xlconstant_1_0.h create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xlconstant_1_0/sim/pl_eth_10g_xlconstant_1_0.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xlconstant_1_0/sim/pl_eth_10g_xlconstant_1_0_stub.sv create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xlconstant_1_0/sim/xlconstant_v1_1_7.h create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xlconstant_1_0/synth/pl_eth_10g_xlconstant_1_0.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xlconstant_2_0/pl_eth_10g_xlconstant_2_0.xml create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xlconstant_2_0/sim/pl_eth_10g_xlconstant_2_0.cpp create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xlconstant_2_0/sim/pl_eth_10g_xlconstant_2_0.h create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xlconstant_2_0/sim/pl_eth_10g_xlconstant_2_0.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xlconstant_2_0/sim/pl_eth_10g_xlconstant_2_0_stub.sv create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xlconstant_2_0/sim/xlconstant_v1_1_7.h create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xlconstant_2_0/synth/pl_eth_10g_xlconstant_2_0.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xxv_ethernet_0_0/an_lt_lpm_dfe_gt_drp.txt create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xxv_ethernet_0_0/core_xdc.txt create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xxv_ethernet_0_0/header_files/pl_eth_10g_xxv_ethernet_0_0_axi4lite_reg.h create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xxv_ethernet_0_0/ip_0/pl_eth_10g_xxv_ethernet_0_0_gt.xci create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xxv_ethernet_0_0/ip_0/pl_eth_10g_xxv_ethernet_0_0_gt.xml create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xxv_ethernet_0_0/ip_0/sim/gtwizard_ultrascale_v1_7_gthe4_channel.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xxv_ethernet_0_0/ip_0/sim/pl_eth_10g_xxv_ethernet_0_0_gt.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xxv_ethernet_0_0/ip_0/sim/pl_eth_10g_xxv_ethernet_0_0_gt_gthe4_channel_wrapper.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xxv_ethernet_0_0/ip_0/sim/pl_eth_10g_xxv_ethernet_0_0_gt_gtwizard_gthe4.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xxv_ethernet_0_0/ip_0/sim/pl_eth_10g_xxv_ethernet_0_0_gt_gtwizard_top.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xxv_ethernet_0_0/ip_0/synth/gtwizard_ultrascale_v1_7_gthe4_channel.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xxv_ethernet_0_0/ip_0/synth/pl_eth_10g_xxv_ethernet_0_0_gt.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xxv_ethernet_0_0/ip_0/synth/pl_eth_10g_xxv_ethernet_0_0_gt.xdc create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xxv_ethernet_0_0/ip_0/synth/pl_eth_10g_xxv_ethernet_0_0_gt_gthe4_channel_wrapper.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xxv_ethernet_0_0/ip_0/synth/pl_eth_10g_xxv_ethernet_0_0_gt_gtwizard_gthe4.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xxv_ethernet_0_0/ip_0/synth/pl_eth_10g_xxv_ethernet_0_0_gt_gtwizard_top.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xxv_ethernet_0_0/ip_0/synth/pl_eth_10g_xxv_ethernet_0_0_gt_ooc.xdc create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xxv_ethernet_0_0/pl_eth_10g_xxv_ethernet_0_0.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xxv_ethernet_0_0/pl_eth_10g_xxv_ethernet_0_0.xml create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xxv_ethernet_0_0/pl_eth_10g_xxv_ethernet_0_0/gtwizard_ultrascale_v1_7_gthe4_common.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xxv_ethernet_0_0/pl_eth_10g_xxv_ethernet_0_0/pl_eth_10g_xxv_ethernet_0_0_gt_gthe4_common_wrapper.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xxv_ethernet_0_0/synth/pl_eth_10g_xxv_ethernet_0_0.xdc create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xxv_ethernet_0_0/synth/pl_eth_10g_xxv_ethernet_0_0_board.xdc create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xxv_ethernet_0_0/synth/pl_eth_10g_xxv_ethernet_0_0_ooc.xdc create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xxv_ethernet_0_0/xxv_ethernet_v3_3_0/pl_eth_10g_xxv_ethernet_0_0_axi4_lite_if_wrapper.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xxv_ethernet_0_0/xxv_ethernet_v3_3_0/pl_eth_10g_xxv_ethernet_0_0_common_wrapper.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xxv_ethernet_0_0/xxv_ethernet_v3_3_0/pl_eth_10g_xxv_ethernet_0_0_top.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xxv_ethernet_0_0/xxv_ethernet_v3_3_0/pl_eth_10g_xxv_ethernet_0_0_ultrascale_rx_userclk.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xxv_ethernet_0_0/xxv_ethernet_v3_3_0/pl_eth_10g_xxv_ethernet_0_0_ultrascale_tx_userclk.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xxv_ethernet_0_0/xxv_ethernet_v3_3_0/pl_eth_10g_xxv_ethernet_0_0_wrapper.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_zynq_ultra_ps_e_0_0/hdl/pl_eth_10g_zynq_ultra_ps_e_0_0.hwdef create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_zynq_ultra_ps_e_0_0/hdl/zynq_ultra_ps_e_v3_3_3.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_zynq_ultra_ps_e_0_0/pl_eth_10g_zynq_ultra_ps_e_0_0.xdc create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_zynq_ultra_ps_e_0_0/pl_eth_10g_zynq_ultra_ps_e_0_0.xml create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_zynq_ultra_ps_e_0_0/pl_eth_10g_zynq_ultra_ps_e_0_0_ooc.xdc create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_zynq_ultra_ps_e_0_0/psu_init.c create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_zynq_ultra_ps_e_0_0/psu_init.h create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_zynq_ultra_ps_e_0_0/psu_init.html create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_zynq_ultra_ps_e_0_0/psu_init.tcl create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_zynq_ultra_ps_e_0_0/psu_init_gpl.c create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_zynq_ultra_ps_e_0_0/psu_init_gpl.h create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_zynq_ultra_ps_e_0_0/sim/pl_eth_10g_zynq_ultra_ps_e_0_0.cpp create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_zynq_ultra_ps_e_0_0/sim/pl_eth_10g_zynq_ultra_ps_e_0_0.h create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_zynq_ultra_ps_e_0_0/sim/pl_eth_10g_zynq_ultra_ps_e_0_0_sc.cpp create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_zynq_ultra_ps_e_0_0/sim/pl_eth_10g_zynq_ultra_ps_e_0_0_sc.h create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_zynq_ultra_ps_e_0_0/sim/pl_eth_10g_zynq_ultra_ps_e_0_0_stub.sv create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_zynq_ultra_ps_e_0_0/sim/pl_eth_10g_zynq_ultra_ps_e_0_0_vip_wrapper.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_zynq_ultra_ps_e_0_0/sim_tlm/b_transport_converter.h create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_zynq_ultra_ps_e_0_0/sim_tlm/xilinx_zynqmp.cpp create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_zynq_ultra_ps_e_0_0/sim_tlm/xilinx_zynqmp.h create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_zynq_ultra_ps_e_0_0/sim_tlm/zynq_ultra_ps_e_tlm.cpp create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_zynq_ultra_ps_e_0_0/sim_tlm/zynq_ultra_ps_e_tlm.h create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_zynq_ultra_ps_e_0_0/synth/pl_eth_10g_zynq_ultra_ps_e_0_0.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/0513/hdl/lib_pkg_v1_0_rfs.vhd create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/05d5/hdl/xxv_ethernet_v3_3_vl_rfs.sv create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/1304/hdl/axi_clock_converter_v2_1_vl_rfs.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/2394/hdl/axi_dwidth_converter_v2_1_vl_rfs.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/2394/hdl/axi_dwidth_converter_v2_1_vlsyn_rfs.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/276e/hdl/fifo_generator_v13_2_rfs.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/276e/hdl/fifo_generator_v13_2_rfs.vhd create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/276e/hdl/fifo_generator_v13_2_vhsyn_rfs.vhd create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/276e/simulation/fifo_generator_vlog_beh.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/2985/hdl/blk_mem_gen_v8_4_vhsyn_rfs.vhd create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/2985/simulation/blk_mem_gen_v8_4.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/3f90/hdl/util_vector_logic_v2_0_vl_rfs.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/4919/hdl/axi_sg_v4_1_rfs.vhd create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/4ab6/hdl/axi_datamover_v5_1_vh_rfs.vhd create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/4b67/hdl/xlconcat_v2_1_vl_rfs.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/51ce/hdl/lib_srl_fifo_v1_0_rfs.vhd create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/54c0/hdl/axi_data_fifo_v2_1_vl_rfs.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/5cee/hdl/axi_protocol_converter_v2_1_vl_rfs.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/8713/hdl/axis_infrastructure_v1_1_0.vh create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/8713/hdl/axis_infrastructure_v1_1_vl_rfs.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/8842/hdl/proc_sys_reset_v5_0_vh_rfs.vhd create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/88c9/hdl/axi_mmu_v2_1_vl_rfs.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/89d8/hdl/axi_dma_v7_1_vh_rfs.vhd create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/94c3/hdl/axi_vip_v1_1_vl_rfs.sv create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/a5cb/hdl/lib_fifo_v1_0_rfs.vhd create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/abd4/hdl/axis_data_fifo_v2_0_vl_rfs.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/af2c/hdl/axi_register_slice_v2_1_vl_rfs.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/b752/hdl/generic_baseblocks_v2_1_vl_rfs.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/bc0a/hdl/axi_crossbar_v2_1_vl_rfs.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/d12e/hdl/gtwizard_ultrascale_v1_7_bit_sync.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/d12e/hdl/gtwizard_ultrascale_v1_7_gte4_drp_arb.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/d12e/hdl/gtwizard_ultrascale_v1_7_gthe3_cal_freqcnt.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/d12e/hdl/gtwizard_ultrascale_v1_7_gthe3_cpll_cal.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/d12e/hdl/gtwizard_ultrascale_v1_7_gthe4_cal_freqcnt.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/d12e/hdl/gtwizard_ultrascale_v1_7_gthe4_cpll_cal.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/d12e/hdl/gtwizard_ultrascale_v1_7_gthe4_cpll_cal_rx.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/d12e/hdl/gtwizard_ultrascale_v1_7_gthe4_cpll_cal_tx.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/d12e/hdl/gtwizard_ultrascale_v1_7_gthe4_delay_powergood.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/d12e/hdl/gtwizard_ultrascale_v1_7_gtwiz_buffbypass_rx.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/d12e/hdl/gtwizard_ultrascale_v1_7_gtwiz_buffbypass_tx.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/d12e/hdl/gtwizard_ultrascale_v1_7_gtwiz_reset.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/d12e/hdl/gtwizard_ultrascale_v1_7_gtwiz_userclk_rx.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/d12e/hdl/gtwizard_ultrascale_v1_7_gtwiz_userclk_tx.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/d12e/hdl/gtwizard_ultrascale_v1_7_gtwiz_userdata_rx.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/d12e/hdl/gtwizard_ultrascale_v1_7_gtwiz_userdata_tx.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/d12e/hdl/gtwizard_ultrascale_v1_7_gtye4_cal_freqcnt.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/d12e/hdl/gtwizard_ultrascale_v1_7_gtye4_cpll_cal.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/d12e/hdl/gtwizard_ultrascale_v1_7_gtye4_cpll_cal_rx.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/d12e/hdl/gtwizard_ultrascale_v1_7_gtye4_cpll_cal_tx.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/d12e/hdl/gtwizard_ultrascale_v1_7_gtye4_delay_powergood.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/d12e/hdl/gtwizard_ultrascale_v1_7_reset_inv_sync.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/d12e/hdl/gtwizard_ultrascale_v1_7_reset_sync.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/da1e/hdl/zynq_ultra_ps_e_vip_v1_0_8_apis.sv create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/da1e/hdl/zynq_ultra_ps_e_vip_v1_0_8_axi_ace.sv create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/da1e/hdl/zynq_ultra_ps_e_vip_v1_0_8_axi_acp.sv create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/da1e/hdl/zynq_ultra_ps_e_vip_v1_0_8_axi_gp.sv create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/da1e/hdl/zynq_ultra_ps_e_vip_v1_0_8_local_params.sv create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/da1e/hdl/zynq_ultra_ps_e_vip_v1_0_8_reg_init.sv create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/da1e/hdl/zynq_ultra_ps_e_vip_v1_0_8_reg_params.sv create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/da1e/hdl/zynq_ultra_ps_e_vip_v1_0_8_unused_ports.sv create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/da1e/hdl/zynq_ultra_ps_e_vip_v1_0_vl_rfs.sv create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/ec67/hdl/axi_infrastructure_v1_1_0.vh create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/ec67/hdl/axi_infrastructure_v1_1_vl_rfs.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/ef1e/hdl/lib_cdc_v1_0_rfs.vhd create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/ipshared/fcfc/hdl/xlconstant_v1_1_vl_rfs.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/pl_eth_10g.bda create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/pl_eth_10g.bxml create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/pl_eth_10g_ooc.xdc create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/sim/pl_eth_10g.protoinst create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/sim/pl_eth_10g.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/synth/pl_eth_10g.hwdef create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/synth/pl_eth_10g.v create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.hw/hw_1/hw.xml create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.hw/pl_eth_10g.lpr create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/.jobs/vrs_config_1.xml create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/.jobs/vrs_config_2.xml create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/.jobs/vrs_config_3.xml create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/.jobs/vrs_config_4.xml create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/.jobs/vrs_config_5.xml create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/.jobs/vrs_config_6.xml create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/.jobs/vrs_config_7.xml create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/.Vivado_Implementation.queue.rst create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/.init_design.begin.rst create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/.init_design.end.rst create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/.opt_design.begin.rst create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/.opt_design.end.rst create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/.phys_opt_design.begin.rst create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/.phys_opt_design.end.rst create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/.place_design.begin.rst create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/.place_design.end.rst create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/.route_design.begin.rst create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/.route_design.end.rst create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/.vivado.begin.rst create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/.vivado.end.rst create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/.write_bitstream.begin.rst create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/.write_bitstream.end.rst create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/ISEWrap.js create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/ISEWrap.sh create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/gen_run.xml create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/htr.txt create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/init_design.pb create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/opt_design.pb create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/phys_opt_design.pb create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/pl_eth_10g_wrapper.bit create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/pl_eth_10g_wrapper.hwdef create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/pl_eth_10g_wrapper.tcl create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/pl_eth_10g_wrapper.vdi create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/pl_eth_10g_wrapper_69332.backup.vdi create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/pl_eth_10g_wrapper_bus_skew_routed.pb create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/pl_eth_10g_wrapper_bus_skew_routed.rpt create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/pl_eth_10g_wrapper_bus_skew_routed.rpx create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/pl_eth_10g_wrapper_clock_utilization_routed.rpt create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/pl_eth_10g_wrapper_control_sets_placed.rpt create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/pl_eth_10g_wrapper_drc_opted.pb create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/pl_eth_10g_wrapper_drc_opted.rpt create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/pl_eth_10g_wrapper_drc_opted.rpx create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/pl_eth_10g_wrapper_drc_routed.pb create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/pl_eth_10g_wrapper_drc_routed.rpt create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/pl_eth_10g_wrapper_drc_routed.rpx create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/pl_eth_10g_wrapper_io_placed.rpt create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/pl_eth_10g_wrapper_methodology_drc_routed.pb create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/pl_eth_10g_wrapper_methodology_drc_routed.rpt create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/pl_eth_10g_wrapper_methodology_drc_routed.rpx create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/pl_eth_10g_wrapper_opt.dcp create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/pl_eth_10g_wrapper_physopt.dcp create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/pl_eth_10g_wrapper_placed.dcp create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/pl_eth_10g_wrapper_power_routed.rpt create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/pl_eth_10g_wrapper_power_routed.rpx create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/pl_eth_10g_wrapper_power_summary_routed.pb create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/pl_eth_10g_wrapper_route_status.pb create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/pl_eth_10g_wrapper_route_status.rpt create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/pl_eth_10g_wrapper_routed.dcp create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/pl_eth_10g_wrapper_timing_summary_routed.pb create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/pl_eth_10g_wrapper_timing_summary_routed.rpt create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/pl_eth_10g_wrapper_timing_summary_routed.rpx create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/pl_eth_10g_wrapper_utilization_placed.pb create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/pl_eth_10g_wrapper_utilization_placed.rpt create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/place_design.pb create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/project.wdf create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/route_design.pb create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/rundef.js create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/runme.bat create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/runme.log create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/runme.sh create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/vivado.jou create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/vivado.pb create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/vivado_69332.backup.jou create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/impl_1/write_bitstream.pb create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/synth_1/.Vivado_Synthesis.queue.rst create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/synth_1/.Xil/pl_eth_10g_wrapper_propImpl.xdc create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/synth_1/.vivado.begin.rst create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/synth_1/.vivado.end.rst create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/synth_1/ISEWrap.js create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/synth_1/ISEWrap.sh create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/synth_1/__synthesis_is_complete__ create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/synth_1/dont_touch.xdc create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/synth_1/gen_run.xml create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/synth_1/htr.txt create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/synth_1/pl_eth_10g_wrapper.dcp create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/synth_1/pl_eth_10g_wrapper.tcl create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/synth_1/pl_eth_10g_wrapper.vds create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/synth_1/pl_eth_10g_wrapper_utilization_synth.pb create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/synth_1/pl_eth_10g_wrapper_utilization_synth.rpt create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/synth_1/project.wdf create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/synth_1/rundef.js create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/synth_1/runme.bat create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/synth_1/runme.log create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/synth_1/runme.sh create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/synth_1/vivado.jou create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.runs/synth_1/vivado.pb create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.srcs/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_cc_0/pl_eth_10g_auto_cc_0.xci create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.srcs/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_cc_1/pl_eth_10g_auto_cc_1.xci create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.srcs/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_ds_0/pl_eth_10g_auto_ds_0.xci create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.srcs/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_ds_1/pl_eth_10g_auto_ds_1.xci create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.srcs/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_pc_0/pl_eth_10g_auto_pc_0.xci create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.srcs/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_pc_1/pl_eth_10g_auto_pc_1.xci create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.srcs/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_us_0/pl_eth_10g_auto_us_0.xci create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.srcs/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_us_1/pl_eth_10g_auto_us_1.xci create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.srcs/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_auto_us_2/pl_eth_10g_auto_us_2.xci create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.srcs/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_axi_dma_0_0/pl_eth_10g_axi_dma_0_0.xci create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.srcs/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_axi_pl_ps_0/pl_eth_10g_axi_pl_ps_0.xci create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.srcs/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_dma_rx_rst_0/pl_eth_10g_dma_rx_rst_0.xci create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.srcs/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_dma_tx_rst_0/pl_eth_10g_dma_tx_rst_0.xci create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.srcs/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_ps_axi_periph_0/pl_eth_10g_ps_axi_periph_0.xci create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.srcs/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_rst_ps8_0_99M_0/pl_eth_10g_rst_ps8_0_99M_0.xci create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.srcs/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_rx_data_fifo_0/pl_eth_10g_rx_data_fifo_0.xci create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.srcs/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_rx_rst_n_0/pl_eth_10g_rx_rst_n_0.xci create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.srcs/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_s00_mmu_0/pl_eth_10g_s00_mmu_0.xci create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.srcs/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_s01_mmu_0/pl_eth_10g_s01_mmu_0.xci create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.srcs/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_s02_mmu_0/pl_eth_10g_s02_mmu_0.xci create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.srcs/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_sfp_tx_dis_0/pl_eth_10g_sfp_tx_dis_0.xci create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.srcs/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_tx_data_fifo_0/pl_eth_10g_tx_data_fifo_0.xci create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.srcs/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_tx_rst_n_0/pl_eth_10g_tx_rst_n_0.xci create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.srcs/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_util_vector_logic_0_0/pl_eth_10g_util_vector_logic_0_0.xci create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.srcs/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xbar_0/pl_eth_10g_xbar_0.xci create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.srcs/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xbar_1/pl_eth_10g_xbar_1.xci create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.srcs/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xlconcat_0_0/pl_eth_10g_xlconcat_0_0.xci create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.srcs/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xlconstant_0_0/pl_eth_10g_xlconstant_0_0.xci create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.srcs/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xlconstant_1_0/pl_eth_10g_xlconstant_1_0.xci create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.srcs/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xlconstant_2_0/pl_eth_10g_xlconstant_2_0.xci create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.srcs/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_xxv_ethernet_0_0/pl_eth_10g_xxv_ethernet_0_0.xci create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.srcs/sources_1/bd/pl_eth_10g/ip/pl_eth_10g_zynq_ultra_ps_e_0_0/pl_eth_10g_zynq_ultra_ps_e_0_0.xci create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.srcs/sources_1/bd/pl_eth_10g/pl_eth_10g.bd create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.srcs/sources_1/bd/pl_eth_10g/pl_eth_10g.bda create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.srcs/sources_1/bd/pl_eth_10g/ui/bd_293b12bf.ui create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.srcs/sources_1/bd/pl_eth_10g/ui/bd_c090a0bd.ui create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.srcs/sources_1/bd/pl_eth_10g/ui/layout.ui create mode 100644 src/pl_eth_10g_hw/pl_eth_10g.xpr create mode 100644 src/pre-built/pl_eth_10g_wrapper.xsa diff --git a/Docs/Possible_Improvements/pl_eth_10g.pdf b/Docs/Possible_Improvements/pl_eth_10g.pdf new file mode 100644 index 0000000..2a29edc --- /dev/null +++ b/Docs/Possible_Improvements/pl_eth_10g.pdf @@ -0,0 +1,5811 @@ +%PDF-1.4 +1 0 obj +<< + /Title (pl_eth_10g_imp) + /Author (Joe.Lindula) + /Producer (Concept Engineering GmbH) + /Creator (Nlview 7.0.21 2019-05-29 bk=1.5064 VDI=41 GEI=36) + /CreationDate (D:20210505100433) +>> +endobj +2 0 obj +<< + /Type /Catalog + /Pages 3 0 R + /Outlines 7 0 R + /PageMode /UseThumbs + /ViewerPreferences << /DisplayDocTitle true >> +>> +endobj +4 0 obj +<< + /Type /Font + /Subtype /Type1 + /Name /F1 + /BaseFont /Helvetica + /Encoding /MacRomanEncoding +>> +endobj +5 0 obj +<< + /ExtGState 6 0 R + /Font << /F1 4 0 R >> + /ColorSpace << /PCS [/Pattern /DeviceRGB] >> + /Pattern 8 0 R + /XObject 9 0 R +>> +endobj +% +% Nlview page 1 +% (user space scaling 0.151807) +% +10 0 obj +<< + /Type /Page + /Parent 3 0 R + /Resources 5 0 R + /Contents 11 0 R + /MediaBox [0 0 612 792] + /Rotate 0 +>> +endobj +11 0 obj +<< + /Length 54053 +>> +stream +1 0 0 1 0 250.308 cm +1 0 0 1 28.8 28.8 cm +0.151807 0 0 -0.151807 0 0 cm +1 0 0 1 0 -1540 cm +0 0 3652 1540 re +W n +/GS gs +1 0 0 1 331 -580 cm +q +0.753 0.753 0.753 rg +/GSa0 gs +-331 580 3652 1541 re +f +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +1357 992 m +1623 992 l +1623 992 l +1626 993 l +1628 994 l +1629 996 l +1630 999 l +1630 999 l +1630 1181 l +1630 1181 l +1629 1184 l +1628 1186 l +1626 1187 l +1623 1188 l +1623 1188 l +1357 1188 l +1357 1188 l +1354 1187 l +1352 1186 l +1351 1184 l +1350 1181 l +1350 1181 l +1350 999 l +1350 999 l +1351 996 l +1352 994 l +1354 993 l +h f +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1490 990 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-29.346 2.484 Td +(axi_dma_0) Tj +ET +Q +q +0.255 0.380 0.624 rg +/GSa0 gs +1 0 0 1 1490 1190 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-71.346 -8.616 Td +(AXI Direct Memory Access) Tj +ET +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +1340 1021 10 18 re +f +Q +q +1 0 0 1 1359.5 1030.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im0 Do +Q +q +1 0 0 1 1345 1030 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im1 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1367 1030 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(S_AXI_LITE) Tj +ET +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +1630 1011 10 18 re +f +Q +q +1 0 0 1 1620.5 1020.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im0 Do +Q +q +1 0 0 1 1635 1020 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im2 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1613 1020 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-50.02 -3.59 Td +(M_AXI_SG) Tj +ET +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +1630 1031 10 18 re +f +Q +q +1 0 0 1 1620.5 1040.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im0 Do +Q +q +1 0 0 1 1635 1040 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im2 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1613 1040 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-64.46 -3.59 Td +(M_AXI_MM2S) Tj +ET +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +1630 1051 10 18 re +f +Q +q +1 0 0 1 1620.5 1060.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im0 Do +Q +q +1 0 0 1 1635 1060 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im2 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1613 1060 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-64.46 -3.59 Td +(M_AXI_S2MM) Tj +ET +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +1630 1071 10 18 re +f +Q +q +1 0 0 1 1620.5 1080.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im0 Do +Q +q +1 0 0 1 1635 1080 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im3 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1613 1080 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-71.13 -3.59 Td +(M_AXIS_MM2S) Tj +ET +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +1340 1041 10 18 re +f +Q +q +1 0 0 1 1359.5 1050.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im0 Do +Q +q +1 0 0 1 1345 1050 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im4 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1367 1050 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(S_AXIS_S2MM) Tj +ET +Q +[] 0 d +3 w +0.000 0.000 0.000 RG +/GSA0 gs +1340 1070 m +1350 1070 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1352 1070 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(s_axi_lite_aclk) Tj +ET +Q +1340 1090 m +1350 1090 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1352 1090 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(m_axi_sg_aclk) Tj +ET +Q +1340 1110 m +1350 1110 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1352 1110 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(m_axi_mm2s_aclk) Tj +ET +Q +1340 1130 m +1350 1130 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1352 1130 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(m_axi_s2mm_aclk) Tj +ET +Q +1350 1150 m +1350 1148.34 1348.66 1147 1347 1147 c +1345.34 1147 1344 1148.34 1344 1150 c +1344 1151.66 1345.34 1153 1347 1153 c +1348.66 1153 1350 1151.66 1350 1150 c +S +1340 1150 m +1344 1150 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1352 1150 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(axi_resetn) Tj +ET +Q +1636 1100 m +1636 1098.34 1634.66 1097 1633 1097 c +1631.34 1097 1630 1098.34 1630 1100 c +1630 1101.66 1631.34 1103 1633 1103 c +1634.66 1103 1636 1101.66 1636 1100 c +S +1640 1100 m +1636 1100 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1628 1100 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-116.7 -3.59 Td +(mm2s_prmry_reset_out_n) Tj +ET +Q +1636 1120 m +1636 1118.34 1634.66 1117 1633 1117 c +1631.34 1117 1630 1118.34 1630 1120 c +1630 1121.66 1631.34 1123 1633 1123 c +1634.66 1123 1636 1121.66 1636 1120 c +S +1640 1120 m +1636 1120 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1628 1120 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-116.7 -3.59 Td +(s2mm_prmry_reset_out_n) Tj +ET +Q +1640 1140 m +1630 1140 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1628 1140 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-60.57 -3.59 Td +(mm2s_introut) Tj +ET +Q +1640 1160 m +1630 1160 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1628 1160 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-60.57 -3.59 Td +(s2mm_introut) Tj +ET +Q +1 w +0.255 0.380 0.624 RG +1357 992 m +1623 992 l +S +1630 999 m +1630 998.889 1630 998.778 1630 998.667 c +1629.91 994.893 1626.77 991.908 1623 992 c +S +1630 999 m +1630 1181 l +S +1623 1188 m +1623.11 1188 1623.22 1188 1623.33 1188 c +1627.11 1187.91 1630.09 1184.77 1630 1181 c +S +1623 1188 m +1357 1188 l +S +1350 1181 m +1350 1181.11 1350 1181.22 1350 1181.33 c +1350.09 1185.11 1353.23 1188.09 1357 1188 c +S +1350 1181 m +1350 999 l +S +1357 992 m +1356.89 991.997 1356.78 991.997 1356.67 992 c +1352.89 992.092 1349.91 995.226 1350 999 c +S +q +0.929 0.965 0.996 rg +/GSa0 gs +1887 612 m +2113 612 l +2113 612 l +2116 613 l +2118 614 l +2119 616 l +2120 619 l +2120 619 l +2120 901 l +2120 901 l +2119 904 l +2118 906 l +2116 907 l +2113 908 l +2113 908 l +1887 908 l +1887 908 l +1884 907 l +1882 906 l +1881 904 l +1880 901 l +1880 901 l +1880 619 l +1880 619 l +1881 616 l +1882 614 l +1884 613 l +h f +Q +q +1 0 0 1 2011 760 cm +1 0 0 1 -24 -30 cm +48 0 0 -60 0 60 cm /Im5 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 2000 610 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-25.344 2.484 Td +(axi_pl_ps) Tj +ET +Q +q +0.255 0.380 0.624 rg +/GSa0 gs +1 0 0 1 2000 910 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-44.358 -8.616 Td +(AXI Interconnect) Tj +ET +Q +q +1 0 0 1 1891 623 cm +1 0 0 1 -8 -8 cm +16 0 0 -16 0 16 cm /Im6 Do +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +1870 631 10 18 re +f +Q +q +1 0 0 1 1889.5 640.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im0 Do +Q +q +1 0 0 1 1875 640 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im7 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1897 640 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(S00_AXI) Tj +ET +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +2120 751 10 18 re +f +Q +q +1 0 0 1 2110.5 760.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im0 Do +Q +q +1 0 0 1 2125 760 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im2 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 2103 760 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-41.13 -3.59 Td +(M00_AXI) Tj +ET +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +1870 651 10 18 re +f +Q +q +1 0 0 1 1889.5 660.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im0 Do +Q +q +1 0 0 1 1875 660 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im7 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1897 660 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(S01_AXI) Tj +ET +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +1870 671 10 18 re +f +Q +q +1 0 0 1 1889.5 680.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im0 Do +Q +q +1 0 0 1 1875 680 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im7 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1897 680 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(S02_AXI) Tj +ET +Q +3 w +0.000 0.000 0.000 RG +1870 700 m +1880 700 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1882 700 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(ACLK) Tj +ET +Q +1870 720 m +1880 720 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1882 720 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(ARESETN) Tj +ET +Q +1870 740 m +1880 740 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1882 740 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(S00_ACLK) Tj +ET +Q +1870 760 m +1880 760 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1882 760 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(S00_ARESETN) Tj +ET +Q +1870 780 m +1880 780 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1882 780 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(M00_ACLK) Tj +ET +Q +1870 800 m +1880 800 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1882 800 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(M00_ARESETN) Tj +ET +Q +1870 820 m +1880 820 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1882 820 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(S01_ACLK) Tj +ET +Q +1870 840 m +1880 840 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1882 840 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(S01_ARESETN) Tj +ET +Q +1870 860 m +1880 860 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1882 860 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(S02_ACLK) Tj +ET +Q +1870 880 m +1880 880 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1882 880 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(S02_ARESETN) Tj +ET +Q +1 w +0.255 0.380 0.624 RG +1887 612 m +2113 612 l +S +2120 619 m +2120 618.889 2120 618.778 2120 618.667 c +2119.91 614.893 2116.77 611.908 2113 612 c +S +2120 619 m +2120 901 l +S +2113 908 m +2113.11 908.003 2113.22 908.003 2113.33 908 c +2117.11 907.908 2120.09 904.774 2120 901 c +S +2113 908 m +1887 908 l +S +1880 901 m +1880 901.111 1880 901.222 1880 901.333 c +1880.09 905.107 1883.23 908.092 1887 908 c +S +1880 901 m +1880 619 l +S +1887 612 m +1886.89 611.997 1886.78 611.997 1886.67 612 c +1882.89 612.092 1879.91 615.226 1880 619 c +S +q +0.780 0.980 0.761 rg +/GSa0 gs +1887 1621 m +2113 1621 l +2113 1621 l +2116 1622 l +2118 1623 l +2119 1625 l +2120 1628 l +2120 1628 l +2120 1672 l +2120 1672 l +2119 1675 l +2118 1677 l +2116 1678 l +2113 1679 l +2113 1679 l +1887 1679 l +1887 1679 l +1884 1678 l +1882 1677 l +1881 1675 l +1880 1672 l +1880 1672 l +1880 1628 l +1880 1628 l +1881 1625 l +1882 1623 l +1884 1622 l +h f +Q +q +1 0 0 1 2000 1650 cm +1 0 0 1 -50 -24 cm +100 0 0 -48 0 48 cm /Im8 Do +Q +q +0.055 0.678 0.000 rg +/GSa0 gs +1 0 0 1 2000 1619 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-30.006 2.484 Td +(dma_rx_rst) Tj +ET +Q +q +0.055 0.678 0.000 rg +/GSa0 gs +1 0 0 1 2000 1681 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-49.68 -8.616 Td +(Utility Vector Logic) Tj +ET +Q +7 w +0.055 0.678 0.000 RG +1880 1650 m +1880 1648.34 1878.66 1647 1877 1647 c +1875.34 1647 1874 1648.34 1874 1650 c +1874 1651.66 1875.34 1653 1877 1653 c +1878.66 1653 1880 1651.66 1880 1650 c +S +1870 1650 m +1874 1650 l +S +q +0.055 0.678 0.000 rg +/GSa0 gs +1 0 0 1 1882 1650 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(Op1[0:0]) Tj +ET +Q +2130 1650 m +2120 1650 l +S +q +0.055 0.678 0.000 rg +/GSa0 gs +1 0 0 1 2118 1650 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-37.24 -3.59 Td +(Res[0:0]) Tj +ET +Q +4 w +1887 1621 m +2113 1621 l +S +2120 1628 m +2120 1627.89 2120 1627.78 2120 1627.67 c +2119.91 1623.89 2116.77 1620.91 2113 1621 c +S +2120 1628 m +2120 1672 l +S +2113 1679 m +2113.11 1679 2113.22 1679 2113.33 1679 c +2117.11 1678.91 2120.09 1675.77 2120 1672 c +S +2113 1679 m +1887 1679 l +S +1880 1672 m +1880 1672.11 1880 1672.22 1880 1672.33 c +1880.09 1676.11 1883.23 1679.09 1887 1679 c +S +1880 1672 m +1880 1628 l +S +1887 1621 m +1886.89 1621 1886.78 1621 1886.67 1621 c +1882.89 1621.09 1879.91 1624.23 1880 1628 c +S +q +0.816 0.914 0.984 rg +/GSa0 gs +1887 1721 m +2113 1721 l +2113 1721 l +2116 1722 l +2118 1723 l +2119 1725 l +2120 1728 l +2120 1728 l +2120 1772 l +2120 1772 l +2119 1775 l +2118 1777 l +2116 1778 l +2113 1779 l +2113 1779 l +1887 1779 l +1887 1779 l +1884 1778 l +1882 1777 l +1881 1775 l +1880 1772 l +1880 1772 l +1880 1728 l +1880 1728 l +1881 1725 l +1882 1723 l +1884 1722 l +h f +Q +q +1 0 0 1 2000 1750 cm +1 0 0 1 -50 -24 cm +100 0 0 -48 0 48 cm /Im8 Do +Q +q +0.078 0.608 1.000 rg +/GSa0 gs +1 0 0 1 2000 1719 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-29.676 2.484 Td +(dma_tx_rst) Tj +ET +Q +q +0.078 0.608 1.000 rg +/GSa0 gs +1 0 0 1 2000 1781 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-49.68 -8.616 Td +(Utility Vector Logic) Tj +ET +Q +7 w +0.078 0.608 1.000 RG +1880 1750 m +1880 1748.34 1878.66 1747 1877 1747 c +1875.34 1747 1874 1748.34 1874 1750 c +1874 1751.66 1875.34 1753 1877 1753 c +1878.66 1753 1880 1751.66 1880 1750 c +S +1870 1750 m +1874 1750 l +S +q +0.078 0.608 1.000 rg +/GSa0 gs +1 0 0 1 1882 1750 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(Op1[0:0]) Tj +ET +Q +2130 1750 m +2120 1750 l +S +q +0.078 0.608 1.000 rg +/GSa0 gs +1 0 0 1 2118 1750 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-37.24 -3.59 Td +(Res[0:0]) Tj +ET +Q +4 w +1887 1721 m +2113 1721 l +S +2120 1728 m +2120 1727.89 2120 1727.78 2120 1727.67 c +2119.91 1723.89 2116.77 1720.91 2113 1721 c +S +2120 1728 m +2120 1772 l +S +2113 1779 m +2113.11 1779 2113.22 1779 2113.33 1779 c +2117.11 1778.91 2120.09 1775.77 2120 1772 c +S +2113 1779 m +1887 1779 l +S +1880 1772 m +1880 1772.11 1880 1772.22 1880 1772.33 c +1880.09 1776.11 1883.23 1779.09 1887 1779 c +S +1880 1772 m +1880 1728 l +S +1887 1721 m +1886.89 1721 1886.78 1721 1886.67 1721 c +1882.89 1721.09 1879.91 1724.23 1880 1728 c +S +q +0.867 0.831 0.816 rg +/GSa0 gs +-220 1390 m +-227 1397 l +-241 1397 l +-241 1383 l +-227 1383 l +h f +Q +3 w +0.165 0.369 0.435 RG +-220 1390 m +-227 1397 l +-241 1397 l +-241 1383 l +-227 1383 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 -245 1390 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-52.02 -4.308 Td +(gt_ref_clk) Tj +ET +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +-220 1410 m +-227 1417 l +-241 1417 l +-241 1403 l +-227 1403 l +h f +Q +-220 1410 m +-227 1417 l +-241 1417 l +-241 1403 l +-227 1403 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 -245 1410 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-26.676 -4.308 Td +(gt_rx) Tj +ET +Q +q +0.867 0.831 0.816 rg +/GSa0 gs +3180 1200 m +3180 1193 l +3194 1193 l +3201 1200 l +3194 1207 l +3180 1207 l +h f +Q +3180 1200 m +3180 1193 l +3194 1193 l +3201 1200 l +3194 1207 l +3180 1207 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 3205 1200 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +0 -4.308 Td +(gt_tx) Tj +ET +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +947 922 m +1173 922 l +1173 922 l +1176 923 l +1178 924 l +1179 926 l +1180 929 l +1180 929 l +1180 1171 l +1180 1171 l +1179 1174 l +1178 1176 l +1176 1177 l +1173 1178 l +1173 1178 l +947 1178 l +947 1178 l +944 1177 l +942 1176 l +941 1174 l +940 1171 l +940 1171 l +940 929 l +940 929 l +941 926 l +942 924 l +944 923 l +h f +Q +q +1 0 0 1 1071 1050 cm +1 0 0 1 -24 -30 cm +48 0 0 -60 0 60 cm /Im5 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1060 920 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-37.35 2.484 Td +(ps_axi_periph) Tj +ET +Q +q +0.255 0.380 0.624 rg +/GSa0 gs +1 0 0 1 1060 1180 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-44.358 -8.616 Td +(AXI Interconnect) Tj +ET +Q +q +1 0 0 1 951 933 cm +1 0 0 1 -8 -8 cm +16 0 0 -16 0 16 cm /Im6 Do +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +930 941 10 18 re +f +Q +q +1 0 0 1 949.5 950.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im0 Do +Q +q +1 0 0 1 935 950 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im7 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 957 950 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(S00_AXI) Tj +ET +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +1180 1021 10 18 re +f +Q +q +1 0 0 1 1170.5 1030.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im0 Do +Q +q +1 0 0 1 1185 1030 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im2 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1163 1030 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-41.13 -3.59 Td +(M00_AXI) Tj +ET +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +1180 1041 10 18 re +f +Q +q +1 0 0 1 1170.5 1050.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im0 Do +Q +q +1 0 0 1 1185 1050 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im2 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1163 1050 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-41.13 -3.59 Td +(M01_AXI) Tj +ET +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +1180 1061 10 18 re +f +Q +q +1 0 0 1 1170.5 1070.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im0 Do +Q +q +1 0 0 1 1185 1070 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im2 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1163 1070 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-41.13 -3.59 Td +(M02_AXI) Tj +ET +Q +0.000 0.000 0.000 RG +930 970 m +940 970 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 942 970 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(ACLK) Tj +ET +Q +930 990 m +940 990 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 942 990 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(ARESETN) Tj +ET +Q +930 1010 m +940 1010 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 942 1010 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(S00_ACLK) Tj +ET +Q +930 1030 m +940 1030 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 942 1030 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(S00_ARESETN) Tj +ET +Q +930 1050 m +940 1050 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 942 1050 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(M00_ACLK) Tj +ET +Q +930 1070 m +940 1070 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 942 1070 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(M00_ARESETN) Tj +ET +Q +930 1090 m +940 1090 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 942 1090 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(M01_ACLK) Tj +ET +Q +930 1110 m +940 1110 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 942 1110 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(M01_ARESETN) Tj +ET +Q +930 1130 m +940 1130 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 942 1130 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(M02_ACLK) Tj +ET +Q +930 1150 m +940 1150 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 942 1150 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(M02_ARESETN) Tj +ET +Q +1 w +0.255 0.380 0.624 RG +947 922 m +1173 922 l +S +1180 929 m +1180 928.889 1180 928.778 1180 928.667 c +1179.91 924.893 1176.77 921.908 1173 922 c +S +1180 929 m +1180 1171 l +S +1173 1178 m +1173.11 1178 1173.22 1178 1173.33 1178 c +1177.11 1177.91 1180.09 1174.77 1180 1171 c +S +1173 1178 m +947 1178 l +S +940 1171 m +939.997 1171.11 939.997 1171.22 940 1171.33 c +940.092 1175.11 943.226 1178.09 947 1178 c +S +940 1171 m +940 929 l +S +947 922 m +946.889 921.997 946.778 921.997 946.667 922 c +942.893 922.092 939.908 925.226 940 929 c +S +q +0.929 0.965 0.996 rg +/GSa0 gs +927 1222 m +1193 1222 l +1193 1222 l +1196 1223 l +1198 1224 l +1199 1226 l +1200 1229 l +1200 1229 l +1200 1351 l +1200 1351 l +1199 1354 l +1198 1356 l +1196 1357 l +1193 1358 l +1193 1358 l +927 1358 l +927 1358 l +924 1357 l +922 1356 l +921 1354 l +920 1351 l +920 1351 l +920 1229 l +920 1229 l +921 1226 l +922 1224 l +924 1223 l +h f +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1060 1220 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-41.352 2.484 Td +(rst_ps8_0_99M) Tj +ET +Q +q +0.255 0.380 0.624 rg +/GSa0 gs +1 0 0 1 1060 1360 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-66.018 -8.616 Td +(Processor System Reset) Tj +ET +Q +3 w +0.000 0.000 0.000 RG +910 1250 m +920 1250 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 922 1250 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(slowest_sync_clk) Tj +ET +Q +920 1270 m +920 1268.34 918.657 1267 917 1267 c +915.343 1267 914 1268.34 914 1270 c +914 1271.66 915.343 1273 917 1273 c +918.657 1273 920 1271.66 920 1270 c +S +910 1270 m +914 1270 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 922 1270 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(ext_reset_in) Tj +ET +Q +920 1290 m +920 1288.34 918.657 1287 917 1287 c +915.343 1287 914 1288.34 914 1290 c +914 1291.66 915.343 1293 917 1293 c +918.657 1293 920 1291.66 920 1290 c +S +910 1290 m +914 1290 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 922 1290 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(aux_reset_in) Tj +ET +Q +910 1310 m +920 1310 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 922 1310 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(mb_debug_sys_rst) Tj +ET +Q +910 1330 m +920 1330 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 922 1330 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(dcm_locked) Tj +ET +Q +1210 1250 m +1200 1250 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1198 1250 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-41.68 -3.59 Td +(mb_reset) Tj +ET +Q +5 w +1210 1270 m +1200 1270 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1198 1270 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-93.38 -3.59 Td +(bus_struct_reset[0:0]) Tj +ET +Q +1210 1290 m +1200 1290 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1198 1290 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-91.71 -3.59 Td +(peripheral_reset[0:0]) Tj +ET +Q +1206 1310 m +1206 1308.34 1204.66 1307 1203 1307 c +1201.34 1307 1200 1308.34 1200 1310 c +1200 1311.66 1201.34 1313 1203 1313 c +1204.66 1313 1206 1311.66 1206 1310 c +S +1210 1310 m +1206 1310 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1198 1310 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-112.84 -3.59 Td +(interconnect_aresetn[0:0]) Tj +ET +Q +1206 1330 m +1206 1328.34 1204.66 1327 1203 1327 c +1201.34 1327 1200 1328.34 1200 1330 c +1200 1331.66 1201.34 1333 1203 1333 c +1204.66 1333 1206 1331.66 1206 1330 c +S +1210 1330 m +1206 1330 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1198 1330 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-102.83 -3.59 Td +(peripheral_aresetn[0:0]) Tj +ET +Q +1 w +0.255 0.380 0.624 RG +927 1222 m +1193 1222 l +S +1200 1229 m +1200 1228.89 1200 1228.78 1200 1228.67 c +1199.91 1224.89 1196.77 1221.91 1193 1222 c +S +1200 1229 m +1200 1351 l +S +1193 1358 m +1193.11 1358 1193.22 1358 1193.33 1358 c +1197.11 1357.91 1200.09 1354.77 1200 1351 c +S +1193 1358 m +927 1358 l +S +920 1351 m +919.997 1351.11 919.997 1351.22 920 1351.33 c +920.092 1355.11 923.226 1358.09 927 1358 c +S +920 1351 m +920 1229 l +S +927 1222 m +926.889 1222 926.778 1222 926.667 1222 c +922.893 1222.09 919.908 1225.23 920 1229 c +S +q +0.984 0.804 0.984 rg +/GSa0 gs +2847 1242 m +3093 1242 l +3093 1242 l +3096 1243 l +3098 1244 l +3099 1246 l +3100 1249 l +3100 1249 l +3100 1331 l +3100 1331 l +3099 1334 l +3098 1336 l +3096 1337 l +3093 1338 l +3093 1338 l +2847 1338 l +2847 1338 l +2844 1337 l +2842 1336 l +2841 1334 l +2840 1331 l +2840 1331 l +2840 1249 l +2840 1249 l +2841 1246 l +2842 1244 l +2844 1243 l +h f +Q +q +1.000 0.000 1.000 rg +/GSa0 gs +1 0 0 1 2970 1240 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-31.35 2.484 Td +(rx_data_fifo) Tj +ET +Q +q +1.000 0.000 1.000 rg +/GSa0 gs +1 0 0 1 2970 1340 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-64.02 -8.616 Td +(AXI4-Stream Data FIFO) Tj +ET +Q +q +0.984 0.804 0.984 rg +/GSa0 gs +2830 1261 10 18 re +f +Q +q +1 0 0 1 2849.5 1270.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im0 Do +Q +q +1 0 0 1 2835 1270 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im4 Do +Q +q +1.000 0.000 1.000 rg +/GSa0 gs +1 0 0 1 2857 1270 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(S_AXIS) Tj +ET +Q +q +0.984 0.804 0.984 rg +/GSa0 gs +3100 1261 10 18 re +f +Q +q +1 0 0 1 3090.5 1270.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im0 Do +Q +q +1 0 0 1 3105 1270 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im3 Do +Q +q +1.000 0.000 1.000 rg +/GSa0 gs +1 0 0 1 3083 1270 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-36.68 -3.59 Td +(M_AXIS) Tj +ET +Q +5 w +1.000 0.000 1.000 RG +2840 1290 m +2840 1288.34 2838.66 1287 2837 1287 c +2835.34 1287 2834 1288.34 2834 1290 c +2834 1291.66 2835.34 1293 2837 1293 c +2838.66 1293 2840 1291.66 2840 1290 c +S +2830 1290 m +2834 1290 l +S +q +1.000 0.000 1.000 rg +/GSa0 gs +1 0 0 1 2842 1290 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(s_axis_aresetn) Tj +ET +Q +2830 1310 m +2840 1310 l +S +q +1.000 0.000 1.000 rg +/GSa0 gs +1 0 0 1 2842 1310 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(s_axis_aclk) Tj +ET +Q +7 w +3110 1290 m +3100 1290 l +S +q +1.000 0.000 1.000 rg +/GSa0 gs +1 0 0 1 3098 1290 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-113.95 -3.59 Td +(axis_wr_data_count[31:0]) Tj +ET +Q +3110 1310 m +3100 1310 l +S +q +1.000 0.000 1.000 rg +/GSa0 gs +1 0 0 1 3098 1310 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-112.29 -3.59 Td +(axis_rd_data_count[31:0]) Tj +ET +Q +4 w +2847 1242 m +3093 1242 l +S +3100 1249 m +3100 1248.89 3100 1248.78 3100 1248.67 c +3099.91 1244.89 3096.77 1241.91 3093 1242 c +S +3100 1249 m +3100 1331 l +S +3093 1338 m +3093.11 1338 3093.22 1338 3093.33 1338 c +3097.11 1337.91 3100.09 1334.77 3100 1331 c +S +3093 1338 m +2847 1338 l +S +2840 1331 m +2840 1331.11 2840 1331.22 2840 1331.33 c +2840.09 1335.11 2843.23 1338.09 2847 1338 c +S +2840 1331 m +2840 1249 l +S +2847 1242 m +2846.89 1242 2846.78 1242 2846.67 1242 c +2842.89 1242.09 2839.91 1245.23 2840 1249 c +S +q +0.929 0.965 0.996 rg +/GSa0 gs +2857 1391 m +3083 1391 l +3083 1391 l +3086 1392 l +3088 1393 l +3089 1395 l +3090 1398 l +3090 1398 l +3090 1442 l +3090 1442 l +3089 1445 l +3088 1447 l +3086 1448 l +3083 1449 l +3083 1449 l +2857 1449 l +2857 1449 l +2854 1448 l +2852 1447 l +2851 1445 l +2850 1442 l +2850 1442 l +2850 1398 l +2850 1398 l +2851 1395 l +2852 1393 l +2854 1392 l +h f +Q +q +1 0 0 1 2970 1420 cm +1 0 0 1 -50 -24 cm +100 0 0 -48 0 48 cm /Im8 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 2970 1389 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-21.672 2.484 Td +(rx_rst_n) Tj +ET +Q +q +0.255 0.380 0.624 rg +/GSa0 gs +1 0 0 1 2970 1451 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-49.68 -8.616 Td +(Utility Vector Logic) Tj +ET +Q +5 w +0.000 0.000 0.000 RG +2840 1420 m +2850 1420 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 2852 1420 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(Op1[0:0]) Tj +ET +Q +3100 1420 m +3090 1420 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 3088 1420 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-37.24 -3.59 Td +(Res[0:0]) Tj +ET +Q +1 w +0.255 0.380 0.624 RG +2857 1391 m +3083 1391 l +S +3090 1398 m +3090 1397.89 3090 1397.78 3090 1397.67 c +3089.91 1393.89 3086.77 1390.91 3083 1391 c +S +3090 1398 m +3090 1442 l +S +3083 1449 m +3083.11 1449 3083.22 1449 3083.33 1449 c +3087.11 1448.91 3090.09 1445.77 3090 1442 c +S +3083 1449 m +2857 1449 l +S +2850 1442 m +2850 1442.11 2850 1442.22 2850 1442.33 c +2850.09 1446.11 2853.23 1449.09 2857 1449 c +S +2850 1442 m +2850 1398 l +S +2857 1391 m +2856.89 1391 2856.78 1391 2856.67 1391 c +2852.89 1391.09 2849.91 1394.23 2850 1398 c +S +q +0.929 0.965 0.996 rg +/GSa0 gs +2497 862 m +2583 862 l +2583 862 l +2586 863 l +2588 864 l +2589 866 l +2590 869 l +2590 869 l +2590 911 l +2590 911 l +2589 914 l +2588 916 l +2586 917 l +2583 918 l +2583 918 l +2497 918 l +2497 918 l +2494 917 l +2492 916 l +2491 914 l +2490 911 l +2490 911 l +2490 869 l +2490 869 l +2491 866 l +2492 864 l +2494 863 l +h f +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 2540 860 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-27.012 2.484 Td +(sfp_tx_dis) Tj +ET +Q +q +0.255 0.380 0.624 rg +/GSa0 gs +1 0 0 1 2540 920 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-24.012 -8.616 Td +(Constant) Tj +ET +Q +5 w +0.000 0.000 0.000 RG +2600 890 m +2590 890 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 2588 890 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-38.92 -3.59 Td +(dout[0:0]) Tj +ET +Q +1 w +0.255 0.380 0.624 RG +2497 862 m +2583 862 l +S +2590 869 m +2590 868.889 2590 868.778 2590 868.667 c +2589.91 864.893 2586.77 861.908 2583 862 c +S +2590 869 m +2590 911 l +S +2583 918 m +2583.11 918.003 2583.22 918.003 2583.33 918 c +2587.11 917.908 2590.09 914.774 2590 911 c +S +2583 918 m +2497 918 l +S +2490 911 m +2490 911.111 2490 911.222 2490 911.333 c +2490.09 915.107 2493.23 918.092 2497 918 c +S +2490 911 m +2490 869 l +S +2497 862 m +2496.89 861.997 2496.78 861.997 2496.67 862 c +2492.89 862.092 2489.91 865.226 2490 869 c +S +q +0.867 0.831 0.816 rg +/GSa0 gs +3180 890 m +3180 883 l +3194 883 l +3201 890 l +3194 897 l +3180 897 l +h f +Q +3 w +0.063 0.133 0.208 RG +3180 890 m +3180 883 l +3194 883 l +3201 890 l +3194 897 l +3180 897 l +h S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 3205 890 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +0 -4.308 Td +(sfp_tx_dis[0:0]) Tj +ET +Q +q +0.804 0.804 0.984 rg +/GSa0 gs +1877 1152 m +2123 1152 l +2123 1152 l +2126 1153 l +2128 1154 l +2129 1156 l +2130 1159 l +2130 1159 l +2130 1241 l +2130 1241 l +2129 1244 l +2128 1246 l +2126 1247 l +2123 1248 l +2123 1248 l +1877 1248 l +1877 1248 l +1874 1247 l +1872 1246 l +1871 1244 l +1870 1241 l +1870 1241 l +1870 1159 l +1870 1159 l +1871 1156 l +1872 1154 l +1874 1153 l +h f +Q +q +0.000 0.000 1.000 rg +/GSa0 gs +1 0 0 1 2000 1150 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-31.02 2.484 Td +(tx_data_fifo) Tj +ET +Q +q +0.000 0.000 1.000 rg +/GSa0 gs +1 0 0 1 2000 1250 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-64.02 -8.616 Td +(AXI4-Stream Data FIFO) Tj +ET +Q +q +0.804 0.804 0.984 rg +/GSa0 gs +1860 1171 10 18 re +f +Q +q +1 0 0 1 1879.5 1180.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im0 Do +Q +q +1 0 0 1 1865 1180 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im4 Do +Q +q +0.000 0.000 1.000 rg +/GSa0 gs +1 0 0 1 1887 1180 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(S_AXIS) Tj +ET +Q +q +0.804 0.804 0.984 rg +/GSa0 gs +2130 1171 10 18 re +f +Q +q +1 0 0 1 2120.5 1180.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im0 Do +Q +q +1 0 0 1 2135 1180 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im3 Do +Q +q +0.000 0.000 1.000 rg +/GSa0 gs +1 0 0 1 2113 1180 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-36.68 -3.59 Td +(M_AXIS) Tj +ET +Q +5 w +0.000 0.000 1.000 RG +1870 1200 m +1870 1198.34 1868.66 1197 1867 1197 c +1865.34 1197 1864 1198.34 1864 1200 c +1864 1201.66 1865.34 1203 1867 1203 c +1868.66 1203 1870 1201.66 1870 1200 c +S +1860 1200 m +1864 1200 l +S +q +0.000 0.000 1.000 rg +/GSa0 gs +1 0 0 1 1872 1200 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(s_axis_aresetn) Tj +ET +Q +1860 1220 m +1870 1220 l +S +q +0.000 0.000 1.000 rg +/GSa0 gs +1 0 0 1 1872 1220 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(s_axis_aclk) Tj +ET +Q +7 w +2140 1200 m +2130 1200 l +S +q +0.000 0.000 1.000 rg +/GSa0 gs +1 0 0 1 2128 1200 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-113.95 -3.59 Td +(axis_wr_data_count[31:0]) Tj +ET +Q +2140 1220 m +2130 1220 l +S +q +0.000 0.000 1.000 rg +/GSa0 gs +1 0 0 1 2128 1220 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-112.29 -3.59 Td +(axis_rd_data_count[31:0]) Tj +ET +Q +4 w +1877 1152 m +2123 1152 l +S +2130 1159 m +2130 1158.89 2130 1158.78 2130 1158.67 c +2129.91 1154.89 2126.77 1151.91 2123 1152 c +S +2130 1159 m +2130 1241 l +S +2123 1248 m +2123.11 1248 2123.22 1248 2123.33 1248 c +2127.11 1247.91 2130.09 1244.77 2130 1241 c +S +2123 1248 m +1877 1248 l +S +1870 1241 m +1870 1241.11 1870 1241.22 1870 1241.33 c +1870.09 1245.11 1873.23 1248.09 1877 1248 c +S +1870 1241 m +1870 1159 l +S +1877 1152 m +1876.89 1152 1876.78 1152 1876.67 1152 c +1872.89 1152.09 1869.91 1155.23 1870 1159 c +S +q +0.929 0.965 0.996 rg +/GSa0 gs +2857 1521 m +3083 1521 l +3083 1521 l +3086 1522 l +3088 1523 l +3089 1525 l +3090 1528 l +3090 1528 l +3090 1572 l +3090 1572 l +3089 1575 l +3088 1577 l +3086 1578 l +3083 1579 l +3083 1579 l +2857 1579 l +2857 1579 l +2854 1578 l +2852 1577 l +2851 1575 l +2850 1572 l +2850 1572 l +2850 1528 l +2850 1528 l +2851 1525 l +2852 1523 l +2854 1522 l +h f +Q +q +1 0 0 1 2970 1550 cm +1 0 0 1 -50 -24 cm +100 0 0 -48 0 48 cm /Im8 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 2970 1519 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-21.342 2.484 Td +(tx_rst_n) Tj +ET +Q +q +0.255 0.380 0.624 rg +/GSa0 gs +1 0 0 1 2970 1581 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-49.68 -8.616 Td +(Utility Vector Logic) Tj +ET +Q +5 w +0.000 0.000 0.000 RG +2840 1550 m +2850 1550 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 2852 1550 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(Op1[0:0]) Tj +ET +Q +3100 1550 m +3090 1550 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 3088 1550 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-37.24 -3.59 Td +(Res[0:0]) Tj +ET +Q +1 w +0.255 0.380 0.624 RG +2857 1521 m +3083 1521 l +S +3090 1528 m +3090 1527.89 3090 1527.78 3090 1527.67 c +3089.91 1523.89 3086.77 1520.91 3083 1521 c +S +3090 1528 m +3090 1572 l +S +3083 1579 m +3083.11 1579 3083.22 1579 3083.33 1579 c +3087.11 1578.91 3090.09 1575.77 3090 1572 c +S +3083 1579 m +2857 1579 l +S +2850 1572 m +2850 1572.11 2850 1572.22 2850 1572.33 c +2850.09 1576.11 2853.23 1579.09 2857 1579 c +S +2850 1572 m +2850 1528 l +S +2857 1521 m +2856.89 1521 2856.78 1521 2856.67 1521 c +2852.89 1521.09 2849.91 1524.23 2850 1528 c +S +q +0.988 0.859 0.859 rg +/GSa0 gs +1887 1521 m +2113 1521 l +2113 1521 l +2116 1522 l +2118 1523 l +2119 1525 l +2120 1528 l +2120 1528 l +2120 1572 l +2120 1572 l +2119 1575 l +2118 1577 l +2116 1578 l +2113 1579 l +2113 1579 l +1887 1579 l +1887 1579 l +1884 1578 l +1882 1577 l +1881 1575 l +1880 1572 l +1880 1572 l +1880 1528 l +1880 1528 l +1881 1525 l +1882 1523 l +1884 1522 l +h f +Q +q +1 0 0 1 2000 1550 cm +1 0 0 1 -50 -24 cm +100 0 0 -48 0 48 cm /Im8 Do +Q +q +1.000 0.400 0.400 rg +/GSa0 gs +1 0 0 1 2000 1519 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-49.686 2.484 Td +(util_vector_logic_0) Tj +ET +Q +q +1.000 0.400 0.400 rg +/GSa0 gs +1 0 0 1 2000 1581 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-49.68 -8.616 Td +(Utility Vector Logic) Tj +ET +Q +7 w +1.000 0.400 0.400 RG +1880 1550 m +1880 1548.34 1878.66 1547 1877 1547 c +1875.34 1547 1874 1548.34 1874 1550 c +1874 1551.66 1875.34 1553 1877 1553 c +1878.66 1553 1880 1551.66 1880 1550 c +S +1870 1550 m +1874 1550 l +S +q +1.000 0.400 0.400 rg +/GSa0 gs +1 0 0 1 1882 1550 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(Op1[0:0]) Tj +ET +Q +2130 1550 m +2120 1550 l +S +q +1.000 0.400 0.400 rg +/GSa0 gs +1 0 0 1 2118 1550 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-37.24 -3.59 Td +(Res[0:0]) Tj +ET +Q +4 w +1887 1521 m +2113 1521 l +S +2120 1528 m +2120 1527.89 2120 1527.78 2120 1527.67 c +2119.91 1523.89 2116.77 1520.91 2113 1521 c +S +2120 1528 m +2120 1572 l +S +2113 1579 m +2113.11 1579 2113.22 1579 2113.33 1579 c +2117.11 1578.91 2120.09 1575.77 2120 1572 c +S +2113 1579 m +1887 1579 l +S +1880 1572 m +1880 1572.11 1880 1572.22 1880 1572.33 c +1880.09 1576.11 1883.23 1579.09 1887 1579 c +S +1880 1572 m +1880 1528 l +S +1887 1521 m +1886.89 1521 1886.78 1521 1886.67 1521 c +1882.89 1521.09 1879.91 1524.23 1880 1528 c +S +q +0.929 0.965 0.996 rg +/GSa0 gs +1947 1882 m +2053 1882 l +2053 1882 l +2056 1883 l +2058 1884 l +2059 1886 l +2060 1889 l +2060 1889 l +2060 1951 l +2060 1951 l +2059 1954 l +2058 1956 l +2056 1957 l +2053 1958 l +2053 1958 l +1947 1958 l +1947 1958 l +1944 1957 l +1942 1956 l +1941 1954 l +1940 1951 l +1940 1951 l +1940 1889 l +1940 1889 l +1941 1886 l +1942 1884 l +1944 1883 l +h f +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 2000 1880 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-28.68 2.484 Td +(xlconcat_0) Tj +ET +Q +q +0.255 0.380 0.624 rg +/GSa0 gs +1 0 0 1 2000 1960 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-19.008 -8.616 Td +(Concat) Tj +ET +Q +5 w +0.000 0.000 0.000 RG +1930 1910 m +1940 1910 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1942 1910 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(In0[0:0]) Tj +ET +Q +1930 1930 m +1940 1930 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 1942 1930 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(In1[0:0]) Tj +ET +Q +2070 1920 m +2060 1920 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 2058 1920 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-38.92 -3.59 Td +(dout[1:0]) Tj +ET +Q +1 w +0.255 0.380 0.624 RG +1947 1882 m +2053 1882 l +S +2060 1889 m +2060 1888.89 2060 1888.78 2060 1888.67 c +2059.91 1884.89 2056.77 1881.91 2053 1882 c +S +2060 1889 m +2060 1951 l +S +2053 1958 m +2053.11 1958 2053.22 1958 2053.33 1958 c +2057.11 1957.91 2060.09 1954.77 2060 1951 c +S +2053 1958 m +1947 1958 l +S +1940 1951 m +1940 1951.11 1940 1951.22 1940 1951.33 c +1940.09 1955.11 1943.23 1958.09 1947 1958 c +S +1940 1951 m +1940 1889 l +S +1947 1882 m +1946.89 1882 1946.78 1882 1946.67 1882 c +1942.89 1882.09 1939.91 1885.23 1940 1889 c +S +q +0.984 0.945 0.804 rg +/GSa0 gs +1957 2032 m +2043 2032 l +2043 2032 l +2046 2033 l +2048 2034 l +2049 2036 l +2050 2039 l +2050 2039 l +2050 2081 l +2050 2081 l +2049 2084 l +2048 2086 l +2046 2087 l +2043 2088 l +2043 2088 l +1957 2088 l +1957 2088 l +1954 2087 l +1952 2086 l +1951 2084 l +1950 2081 l +1950 2081 l +1950 2039 l +1950 2039 l +1951 2036 l +1952 2034 l +1954 2033 l +h f +Q +q +1.000 0.784 0.000 rg +/GSa0 gs +1 0 0 1 2000 2030 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-33.684 2.484 Td +(xlconstant_0) Tj +ET +Q +q +1.000 0.784 0.000 rg +/GSa0 gs +1 0 0 1 2000 2090 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-24.012 -8.616 Td +(Constant) Tj +ET +Q +7 w +1.000 0.784 0.000 RG +2060 2060 m +2050 2060 l +S +q +1.000 0.784 0.000 rg +/GSa0 gs +1 0 0 1 2048 2060 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-44.48 -3.59 Td +(dout[55:0]) Tj +ET +Q +4 w +1957 2032 m +2043 2032 l +S +2050 2039 m +2050 2038.89 2050 2038.78 2050 2038.67 c +2049.91 2034.89 2046.77 2031.91 2043 2032 c +S +2050 2039 m +2050 2081 l +S +2043 2088 m +2043.11 2088 2043.22 2088 2043.33 2088 c +2047.11 2087.91 2050.09 2084.77 2050 2081 c +S +2043 2088 m +1957 2088 l +S +1950 2081 m +1950 2081.11 1950 2081.22 1950 2081.33 c +1950.09 2085.11 1953.23 2088.09 1957 2088 c +S +1950 2081 m +1950 2039 l +S +1957 2032 m +1956.89 2032 1956.78 2032 1956.67 2032 c +1952.89 2032.09 1949.91 2035.23 1950 2039 c +S +q +0.929 0.965 0.996 rg +/GSa0 gs +1957 1312 m +2043 1312 l +2043 1312 l +2046 1313 l +2048 1314 l +2049 1316 l +2050 1319 l +2050 1319 l +2050 1361 l +2050 1361 l +2049 1364 l +2048 1366 l +2046 1367 l +2043 1368 l +2043 1368 l +1957 1368 l +1957 1368 l +1954 1367 l +1952 1366 l +1951 1364 l +1950 1361 l +1950 1361 l +1950 1319 l +1950 1319 l +1951 1316 l +1952 1314 l +1954 1313 l +h f +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 2000 1310 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-33.684 2.484 Td +(xlconstant_1) Tj +ET +Q +q +0.255 0.380 0.624 rg +/GSa0 gs +1 0 0 1 2000 1370 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-24.012 -8.616 Td +(Constant) Tj +ET +Q +5 w +0.000 0.000 0.000 RG +2060 1340 m +2050 1340 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 2048 1340 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-38.92 -3.59 Td +(dout[0:0]) Tj +ET +Q +1 w +0.255 0.380 0.624 RG +1957 1312 m +2043 1312 l +S +2050 1319 m +2050 1318.89 2050 1318.78 2050 1318.67 c +2049.91 1314.89 2046.77 1311.91 2043 1312 c +S +2050 1319 m +2050 1361 l +S +2043 1368 m +2043.11 1368 2043.22 1368 2043.33 1368 c +2047.11 1367.91 2050.09 1364.77 2050 1361 c +S +2043 1368 m +1957 1368 l +S +1950 1361 m +1950 1361.11 1950 1361.22 1950 1361.33 c +1950.09 1365.11 1953.23 1368.09 1957 1368 c +S +1950 1361 m +1950 1319 l +S +1957 1312 m +1956.89 1312 1956.78 1312 1956.67 1312 c +1952.89 1312.09 1949.91 1315.23 1950 1319 c +S +q +0.929 0.965 0.996 rg +/GSa0 gs +1957 1412 m +2043 1412 l +2043 1412 l +2046 1413 l +2048 1414 l +2049 1416 l +2050 1419 l +2050 1419 l +2050 1461 l +2050 1461 l +2049 1464 l +2048 1466 l +2046 1467 l +2043 1468 l +2043 1468 l +1957 1468 l +1957 1468 l +1954 1467 l +1952 1466 l +1951 1464 l +1950 1461 l +1950 1461 l +1950 1419 l +1950 1419 l +1951 1416 l +1952 1414 l +1954 1413 l +h f +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 2000 1410 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-33.684 2.484 Td +(xlconstant_2) Tj +ET +Q +q +0.255 0.380 0.624 rg +/GSa0 gs +1 0 0 1 2000 1470 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-24.012 -8.616 Td +(Constant) Tj +ET +Q +5 w +0.000 0.000 0.000 RG +2060 1440 m +2050 1440 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 2048 1440 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-38.92 -3.59 Td +(dout[2:0]) Tj +ET +Q +1 w +0.255 0.380 0.624 RG +1957 1412 m +2043 1412 l +S +2050 1419 m +2050 1418.89 2050 1418.78 2050 1418.67 c +2049.91 1414.89 2046.77 1411.91 2043 1412 c +S +2050 1419 m +2050 1461 l +S +2043 1468 m +2043.11 1468 2043.22 1468 2043.33 1468 c +2047.11 1467.91 2050.09 1464.77 2050 1461 c +S +2043 1468 m +1957 1468 l +S +1950 1461 m +1950 1461.11 1950 1461.22 1950 1461.33 c +1950.09 1465.11 1953.23 1468.09 1957 1468 c +S +1950 1461 m +1950 1419 l +S +1957 1412 m +1956.89 1412 1956.78 1412 1956.67 1412 c +1952.89 1412.09 1949.91 1415.23 1950 1419 c +S +q +0.929 0.965 0.996 rg +/GSa0 gs +2387 1152 m +2693 1152 l +2693 1152 l +2696 1153 l +2698 1154 l +2699 1156 l +2700 1159 l +2700 1159 l +2700 1621 l +2700 1621 l +2699 1624 l +2698 1626 l +2696 1627 l +2693 1628 l +2693 1628 l +2387 1628 l +2387 1628 l +2384 1627 l +2382 1626 l +2381 1624 l +2380 1621 l +2380 1621 l +2380 1159 l +2380 1159 l +2381 1156 l +2382 1154 l +2384 1153 l +h f +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 2540 1150 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-41.022 2.484 Td +(xxv_ethernet_0) Tj +ET +Q +q +0.255 0.380 0.624 rg +/GSa0 gs +1 0 0 1 2540 1630 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-80.04 -8.616 Td +(10G/25G Ethernet Subsystem) Tj +ET +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +2370 1171 10 18 re +f +Q +q +1 0 0 1 2389.5 1180.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im0 Do +Q +q +1 0 0 1 2375 1180 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im9 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 2397 1180 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(gt_ref_clk) Tj +ET +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +2700 1241 10 18 re +f +Q +q +1 0 0 1 2690.5 1250.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im0 Do +Q +q +1 0 0 1 2705 1250 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im10 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 2683 1250 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-21.68 -3.59 Td +(gt_tx) Tj +ET +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +2370 1191 10 18 re +f +Q +q +1 0 0 1 2389.5 1200.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im0 Do +Q +q +1 0 0 1 2375 1200 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im9 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 2397 1200 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(gt_rx) Tj +ET +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +2370 1211 10 18 re +f +Q +q +1 0 0 1 2389.5 1220.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im0 Do +Q +q +1 0 0 1 2375 1220 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im1 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 2397 1220 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(s_axi_0) Tj +ET +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +2700 1261 10 18 re +f +Q +q +1 0 0 1 2690.5 1270.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im0 Do +Q +q +1 0 0 1 2705 1270 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im3 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 2683 1270 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-42.79 -3.59 Td +(axis_rx_0) Tj +ET +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +2370 1231 10 18 re +f +Q +q +1 0 0 1 2389.5 1240.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im0 Do +Q +q +1 0 0 1 2375 1240 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im4 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 2397 1240 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(axis_tx_0) Tj +ET +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +2370 1251 10 78 re +f +Q +q +1 0 0 1 2389.5 1260.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im11 Do +Q +q +1 0 0 1 2375 1260 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im9 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 2397 1260 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(ctl_tx_0) Tj +ET +Q +2 w +0.000 0.000 0.000 RG +2370 1280 m +2380 1280 l +S +q +0.255 0.380 0.624 rg +/GSa0 gs +2393 1275 m +2401 1280 l +2393 1285 l +h f +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 2402 1280 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(ctl_tx_send_idle_0) Tj +ET +Q +2370 1300 m +2380 1300 l +S +q +0.255 0.380 0.624 rg +/GSa0 gs +2393 1295 m +2401 1300 l +2393 1305 l +h f +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 2402 1300 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(ctl_tx_send_lfi_0) Tj +ET +Q +2370 1320 m +2380 1320 l +S +q +0.255 0.380 0.624 rg +/GSa0 gs +2393 1315 m +2401 1320 l +2393 1325 l +h f +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 2402 1320 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(ctl_tx_send_rfi_0) Tj +ET +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +2700 1281 10 18 re +f +Q +q +1 0 0 1 2690.5 1290.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im0 Do +Q +q +1 0 0 1 2705 1290 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im10 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 2683 1290 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-40.58 -3.59 Td +(stat_tx_0) Tj +ET +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +2700 1301 10 18 re +f +Q +q +1 0 0 1 2690.5 1310.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im0 Do +Q +q +1 0 0 1 2705 1310 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im10 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 2683 1310 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-41.13 -3.59 Td +(stat_rx_0) Tj +ET +Q +3 w +2370 1340 m +2380 1340 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 2382 1340 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(rx_core_clk_0) Tj +ET +Q +5 w +2370 1360 m +2380 1360 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 2382 1360 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(txoutclksel_in_0[2:0]) Tj +ET +Q +2370 1380 m +2380 1380 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 2382 1380 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(rxoutclksel_in_0[2:0]) Tj +ET +Q +3 w +2370 1400 m +2380 1400 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 2382 1400 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(gtwiz_reset_tx_datapath_0) Tj +ET +Q +2370 1420 m +2380 1420 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 2382 1420 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(gtwiz_reset_rx_datapath_0) Tj +ET +Q +2710 1330 m +2700 1330 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 2698 1330 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-59.46 -3.59 Td +(rxrecclkout_0) Tj +ET +Q +2370 1440 m +2380 1440 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 2382 1440 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(sys_reset) Tj +ET +Q +2370 1460 m +2380 1460 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 2382 1460 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(dclk) Tj +ET +Q +2710 1350 m +2700 1350 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 2698 1350 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-56.14 -3.59 Td +(tx_clk_out_0) Tj +ET +Q +2710 1370 m +2700 1370 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 2698 1370 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-56.69 -3.59 Td +(rx_clk_out_0) Tj +ET +Q +2710 1390 m +2700 1390 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 2698 1390 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-57.25 -3.59 Td +(gt_refclk_out) Tj +ET +Q +2710 1410 m +2700 1410 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 2698 1410 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-88.39 -3.59 Td +(gtpowergood_out_0) Tj +ET +Q +2370 1480 m +2380 1480 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 2382 1480 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(s_axi_aclk_0) Tj +ET +Q +2380 1500 m +2380 1498.34 2378.66 1497 2377 1497 c +2375.34 1497 2374 1498.34 2374 1500 c +2374 1501.66 2375.34 1503 2377 1503 c +2378.66 1503 2380 1501.66 2380 1500 c +S +2370 1500 m +2374 1500 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 2382 1500 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(s_axi_aresetn_0) Tj +ET +Q +2370 1520 m +2380 1520 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 2382 1520 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(pm_tick_0) Tj +ET +Q +2370 1540 m +2380 1540 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 2382 1540 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(rx_reset_0) Tj +ET +Q +2710 1430 m +2700 1430 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 2698 1430 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-72.25 -3.59 Td +(user_rx_reset_0) Tj +ET +Q +2710 1450 m +2700 1450 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 2698 1450 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-73.37 -3.59 Td +(stat_rx_status_0) Tj +ET +Q +2370 1560 m +2380 1560 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 2382 1560 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(tx_reset_0) Tj +ET +Q +2710 1470 m +2700 1470 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 2698 1470 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-71.7 -3.59 Td +(user_tx_reset_0) Tj +ET +Q +2710 1490 m +2700 1490 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 2698 1490 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-52.26 -3.59 Td +(tx_unfout_0) Tj +ET +Q +5 w +2370 1580 m +2380 1580 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 2382 1580 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(tx_preamblein_0[55:0]) Tj +ET +Q +2710 1510 m +2700 1510 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 2698 1510 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-105.61 -3.59 Td +(rx_preambleout_0[55:0]) Tj +ET +Q +2710 1530 m +2700 1530 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 2698 1530 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-81.16 -3.59 Td +(user_reg0_0[31:0]) Tj +ET +Q +3 w +2370 1600 m +2380 1600 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 2382 1600 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(qpllreset_in_0) Tj +ET +Q +1 w +0.255 0.380 0.624 RG +2387 1152 m +2693 1152 l +S +2700 1159 m +2700 1158.89 2700 1158.78 2700 1158.67 c +2699.91 1154.89 2696.77 1151.91 2693 1152 c +S +2700 1159 m +2700 1621 l +S +2693 1628 m +2693.11 1628 2693.22 1628 2693.33 1628 c +2697.11 1627.91 2700.09 1624.77 2700 1621 c +S +2693 1628 m +2387 1628 l +S +2380 1621 m +2380 1621.11 2380 1621.22 2380 1621.33 c +2380.09 1625.11 2383.23 1628.09 2387 1628 c +S +2380 1621 m +2380 1159 l +S +2387 1152 m +2386.89 1152 2386.78 1152 2386.67 1152 c +2382.89 1152.09 2379.91 1155.23 2380 1159 c +S +q +0.929 0.965 0.996 rg +/GSa0 gs +207 918 m +733 918 l +733 918 l +736 919 l +738 920 l +739 922 l +740 925 l +740 925 l +740 1035 l +740 1035 l +739 1038 l +738 1040 l +736 1041 l +733 1042 l +733 1042 l +207 1042 l +207 1042 l +204 1041 l +202 1040 l +201 1038 l +200 1035 l +200 1035 l +200 925 l +200 925 l +201 922 l +202 920 l +204 919 l +h f +Q +q +1 0 0 1 465.5 980 cm +1 0 0 1 -134.5 -57 cm +269 0 0 -114 0 114 cm /Im12 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 470 916 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-50.694 2.484 Td +(zynq_ultra_ps_e_0) Tj +ET +Q +q +0.255 0.380 0.624 rg +/GSa0 gs +1 0 0 1 470 1044 cm +BT +/F1 12 Tf +1 0 0 -1 0 0 Tm +-68.52 -8.616 Td +(Zynq UltraScale+ MPSoC) Tj +ET +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +740 941 10 18 re +f +Q +q +1 0 0 1 730.5 950.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im0 Do +Q +q +1 0 0 1 745 950 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im2 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 723 950 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-88.36 -3.59 Td +(M_AXI_HPM0_LPD) Tj +ET +Q +q +0.929 0.965 0.996 rg +/GSa0 gs +190 941 10 18 re +f +Q +q +1 0 0 1 209.5 950.5 cm +1 0 0 1 -7.5 -7.5 cm +15 0 0 -15 0 15 cm /Im0 Do +Q +q +1 0 0 1 195 950 cm +1 0 0 1 -5 -9 cm +10 0 0 -18 0 18 cm /Im7 Do +Q +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 217 950 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(S_AXI_HP0_FPD) Tj +ET +Q +3 w +0.000 0.000 0.000 RG +190 970 m +200 970 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 202 970 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(maxihpm0_lpd_aclk) Tj +ET +Q +190 990 m +200 990 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 202 990 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(saxihp0_fpd_aclk) Tj +ET +Q +5 w +190 1010 m +200 1010 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 202 1010 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +0 -3.59 Td +(pl_ps_irq0[1:0]) Tj +ET +Q +3 w +746 970 m +746 968.343 744.657 967 743 967 c +741.343 967 740 968.343 740 970 c +740 971.657 741.343 973 743 973 c +744.657 973 746 971.657 746 970 c +S +750 970 m +746 970 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 738 970 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-46.69 -3.59 Td +(pl_resetn0) Tj +ET +Q +750 990 m +740 990 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 738 990 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-31.12 -3.59 Td +(pl_clk0) Tj +ET +Q +750 1010 m +740 1010 l +S +q +0.000 0.000 0.000 rg +/GSa0 gs +1 0 0 1 738 1010 cm +BT +/F1 10 Tf +1 0 0 -1 0 0 Tm +-31.12 -3.59 Td +(pl_clk1) Tj +ET +Q +1 w +0.255 0.380 0.624 RG +207 918 m +733 918 l +S +740 925 m +740.003 924.889 740.003 924.778 740 924.667 c +739.908 920.893 736.774 917.908 733 918 c +S +740 925 m +740 1035 l +S +733 1042 m +733.111 1042 733.222 1042 733.333 1042 c +737.107 1041.91 740.092 1038.77 740 1035 c +S +733 1042 m +207 1042 l +S +200 1035 m +199.997 1035.11 199.997 1035.22 200 1035.33 c +200.092 1039.11 203.226 1042.09 207 1042 c +S +200 1035 m +200 925 l +S +207 918 m +206.889 917.997 206.778 917.997 206.667 918 c +202.893 918.092 199.908 921.226 200 925 c +S +0.063 0.133 0.208 RG +1340 1130 m +1280 1130 l +1280 1220 l +1730 1220 l +1730 860 l +1870 860 l +S +1730 1090 m +2230 1090 l +2230 1340 l +2370 1340 l +S +2230 1120 m +2740 1120 l +2740 1370 l +2710 1370 l +S +2740 1310 m +2830 1310 l +S +q +0.063 0.133 0.208 rg +/GSa0 gs +2738 1308 5 5 re +f +Q +q +0.063 0.133 0.208 rg +/GSa0 gs +1728 1088 5 5 re +f +Q +q +0.063 0.133 0.208 rg +/GSa0 gs +2228 1118 5 5 re +f +Q +3 w +2060 1440 m +2190 1440 l +2190 1360 l +2370 1360 l +S +2190 1380 m +2370 1380 l +S +q +0.063 0.133 0.208 rg +/GSa0 gs +2187 1377 7 7 re +f +Q +4 w +0.255 0.380 0.624 RG +1640 1020 m +1660 1020 l +1660 640 l +1870 640 l +S +1 w +0.063 0.133 0.208 RG +1640 1140 m +1670 1140 l +1670 1910 l +1930 1910 l +S +1640 1160 m +1660 1160 l +1660 1930 l +1930 1930 l +S +3 w +930 990 m +790 990 l +790 890 l +1230 890 l +S +q +0.063 0.133 0.208 rg +/GSa0 gs +1227 887 7 7 re +f +Q +1210 1310 m +1230 1310 l +1230 720 l +1870 720 l +S +2060 2060 m +2250 2060 l +2250 1580 l +2370 1580 l +S +2060 1340 m +2170 1340 l +2170 1280 l +2370 1280 l +S +2170 1320 m +2370 1320 l +S +2170 1300 m +2370 1300 l +S +q +0.063 0.133 0.208 rg +/GSa0 gs +2167 1317 7 7 re +f +Q +q +0.063 0.133 0.208 rg +/GSa0 gs +2167 1297 7 7 re +f +Q +2600 890 m +3180 890 l +S +930 1030 m +790 1030 l +790 1420 l +1240 1420 l +1240 1150 l +1340 1150 l +S +1210 1330 m +1240 1330 l +S +1240 1250 m +1720 1250 l +1720 760 l +1870 760 l +S +1720 1100 m +2180 1100 l +2180 1500 l +2370 1500 l +S +790 1070 m +930 1070 l +S +790 1110 m +930 1110 l +S +790 1150 m +930 1150 l +S +q +0.063 0.133 0.208 rg +/GSa0 gs +787 1067 7 7 re +f +Q +q +0.063 0.133 0.208 rg +/GSa0 gs +787 1107 7 7 re +f +Q +q +0.063 0.133 0.208 rg +/GSa0 gs +787 1147 7 7 re +f +Q +q +0.063 0.133 0.208 rg +/GSa0 gs +1237 1327 7 7 re +f +Q +q +0.063 0.133 0.208 rg +/GSa0 gs +1237 1247 7 7 re +f +Q +1720 800 m +1870 800 l +S +q +0.063 0.133 0.208 rg +/GSa0 gs +1717 1097 7 7 re +f +Q +q +0.063 0.133 0.208 rg +/GSa0 gs +1717 797 7 7 re +f +Q +1210 1290 m +1250 1290 l +1250 1420 l +1750 1420 l +1750 1110 l +2200 1110 l +2200 1440 l +2370 1440 l +S +4 w +1.000 0.000 0.000 RG +190 990 m +-190 990 l +-190 890 l +780 890 l +780 1400 l +1260 1400 l +1260 1070 l +1340 1070 l +S +1260 1230 m +1690 1230 l +1690 700 l +1870 700 l +S +1690 1080 m +2210 1080 l +2210 1480 l +2370 1480 l +S +2210 1460 m +2370 1460 l +S +q +1.000 0.000 0.000 rg +/GSa0 gs +2206 1456 8 8 re +f +Q +-190 970 m +190 970 l +S +q +1.000 0.000 0.000 rg +/GSa0 gs +-194 966 8 8 re +f +Q +780 970 m +930 970 l +S +750 990 m +780 990 l +S +780 1010 m +930 1010 l +S +780 1050 m +930 1050 l +S +780 1090 m +930 1090 l +S +780 1130 m +930 1130 l +S +780 1250 m +910 1250 l +S +q +1.000 0.000 0.000 rg +/GSa0 gs +776 966 8 8 re +f +Q +q +1.000 0.000 0.000 rg +/GSa0 gs +776 986 8 8 re +f +Q +q +1.000 0.000 0.000 rg +/GSa0 gs +776 1006 8 8 re +f +Q +q +1.000 0.000 0.000 rg +/GSa0 gs +776 1046 8 8 re +f +Q +q +1.000 0.000 0.000 rg +/GSa0 gs +776 1086 8 8 re +f +Q +q +1.000 0.000 0.000 rg +/GSa0 gs +776 1126 8 8 re +f +Q +q +1.000 0.000 0.000 rg +/GSa0 gs +776 1246 8 8 re +f +Q +1260 1090 m +1340 1090 l +S +q +1.000 0.000 0.000 rg +/GSa0 gs +1256 1226 8 8 re +f +Q +q +1.000 0.000 0.000 rg +/GSa0 gs +1256 1086 8 8 re +f +Q +1690 780 m +1870 780 l +S +1690 740 m +1870 740 l +S +q +1.000 0.000 0.000 rg +/GSa0 gs +1686 1076 8 8 re +f +Q +q +1.000 0.000 0.000 rg +/GSa0 gs +1686 776 8 8 re +f +Q +q +1.000 0.000 0.000 rg +/GSa0 gs +1686 736 8 8 re +f +Q +6 w +1.000 0.784 0.000 RG +750 950 m +930 950 l +S +0.000 0.000 1.000 RG +1640 1080 m +1680 1080 l +1680 1180 l +1860 1180 l +S +1.000 0.000 1.000 RG +1640 1040 m +1670 1040 l +1670 660 l +1870 660 l +S +0.000 1.000 0.000 RG +1640 1060 m +1680 1060 l +1680 680 l +1870 680 l +S +4 w +0.078 0.608 1.000 RG +1640 1100 m +1710 1100 l +1710 1750 l +1870 1750 l +S +0.055 0.678 0.000 RG +1640 1120 m +1700 1120 l +1700 1650 l +1870 1650 l +S +6 w +1.000 0.400 0.400 RG +190 950 m +-200 950 l +-200 880 l +1750 880 l +1750 940 l +2180 940 l +2180 760 l +2130 760 l +S +0.000 0.000 1.000 RG +2140 1180 m +2190 1180 l +2190 1240 l +2370 1240 l +S +0.000 1.000 1.000 RG +1340 1050 m +1280 1050 l +1280 950 l +3140 950 l +3140 1270 l +3110 1270 l +S +5 w +0.055 0.678 0.000 RG +2130 1650 m +2230 1650 l +2230 1540 l +2370 1540 l +S +0.078 0.608 1.000 RG +2130 1750 m +2240 1750 l +2240 1560 l +2370 1560 l +S +6 w +0.996 0.753 0.016 RG +-220 1390 m +1740 1390 l +1740 1120 l +2220 1120 l +2220 1180 l +2370 1180 l +S +0.620 0.176 0.745 RG +-220 1410 m +1780 1410 l +1780 1280 l +2160 1280 l +2160 1200 l +2370 1200 l +S +5 w +1.000 0.000 1.000 RG +1870 880 m +1780 880 l +1780 1060 l +2760 1060 l +2760 1290 l +2830 1290 l +S +2760 1210 m +3130 1210 l +3130 1420 l +3100 1420 l +S +q +1.000 0.000 1.000 rg +/GSa0 gs +2756 1206 9 9 re +f +Q +0.055 0.678 0.000 RG +1870 840 m +1770 840 l +1770 1200 l +1860 1200 l +S +1770 1070 m +3150 1070 l +3150 1550 l +3100 1550 l +S +q +0.055 0.678 0.000 rg +/GSa0 gs +1766 1066 9 9 re +f +Q +0.078 0.608 1.000 RG +190 1010 m +-190 1010 l +-190 1820 l +2200 1820 l +2200 1920 l +2070 1920 l +S +6 w +1.000 0.784 0.000 RG +2710 1270 m +2830 1270 l +S +0.078 0.608 1.000 RG +2710 1250 m +2750 1250 l +2750 1200 l +3180 1200 l +S +4 w +1.000 1.000 0.000 RG +1340 1110 m +1270 1110 l +1270 1240 l +1760 1240 l +S +q +1.000 1.000 0.000 rg +/GSa0 gs +1756 1216 8 8 re +f +Q +q +1.000 1.000 0.000 rg +/GSa0 gs +1756 1236 8 8 re +f +Q +1870 820 m +1760 820 l +1760 1810 l +2730 1810 l +2730 1350 l +2710 1350 l +S +1760 1220 m +1860 1220 l +S +1.000 0.000 1.000 RG +2710 1430 m +2750 1430 l +2750 1420 l +2840 1420 l +S +0.055 0.678 0.000 RG +2710 1470 m +2750 1470 l +2750 1550 l +2840 1550 l +S +6 w +0.808 0.988 0.000 RG +1190 1030 m +1340 1030 l +S +0.078 0.608 1.000 RG +1190 1050 m +1240 1050 l +1240 960 l +2250 960 l +2250 1220 l +2370 1220 l +S +5 w +1.000 0.400 0.400 RG +2130 1550 m +2220 1550 l +2220 1400 l +2370 1400 l +S +2220 1420 m +2370 1420 l +S +q +1.000 0.400 0.400 rg +/GSa0 gs +2216 1416 9 9 re +f +Q +4 w +750 970 m +770 970 l +770 1430 l +1680 1430 l +1680 1550 l +1870 1550 l +S +770 1270 m +910 1270 l +S +q +1.000 0.400 0.400 rg +/GSa0 gs +766 1266 8 8 re +f +Q +endstream +endobj +3 0 obj +<< + /Type /Pages + /Kids + [ + 10 0 R + ] + /Count 1 + /ProcSet [ /PDF /Text /ImageB /ImageC ] +>> +endobj +6 0 obj +<< + /GS << /Type /ExtGState + /LC 0 + /LJ 0 + /ML 4.0 + /ca 1.0 + /CA 1.0 + /AIS false + /SMask /None + >> + /GSa0 << /Type /ExtGState /ca 1 >> + /GSA0 << /Type /ExtGState /CA 1 >> +>> +endobj +27 0 obj +<< + /Title (axi_dma_0 pl_eth_10g_axi_dma_0_0) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 282 418 328 452] + /Parent 26 0 R + /Next 28 0 R +>> +endobj +28 0 obj +<< + /Title (axi_pl_ps axi_pl_ps_imp) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 362 460 402 510] + /Parent 26 0 R + /Prev 27 0 R + /Next 29 0 R +>> +endobj +29 0 obj +<< + /Title (dma_rx_rst pl_eth_10g_dma_rx_rst_0) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 362 343 402 357] + /Parent 26 0 R + /Prev 28 0 R + /Next 30 0 R +>> +endobj +30 0 obj +<< + /Title (dma_tx_rst pl_eth_10g_dma_tx_rst_0) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 362 328 402 341] + /Parent 26 0 R + /Prev 29 0 R + /Next 31 0 R +>> +endobj +31 0 obj +<< + /Title (ps_axi_periph ps_axi_periph_imp) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 220 419 259 463] + /Parent 26 0 R + /Prev 30 0 R + /Next 32 0 R +>> +endobj +32 0 obj +<< + /Title (rst_ps8_0_99M pl_eth_10g_rst_ps8_0_99M_0) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 217 392 262 417] + /Parent 26 0 R + /Prev 31 0 R + /Next 33 0 R +>> +endobj +33 0 obj +<< + /Title (rx_data_fifo pl_eth_10g_rx_data_fifo_0) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 508 395 551 414] + /Parent 26 0 R + /Prev 32 0 R + /Next 34 0 R +>> +endobj +34 0 obj +<< + /Title (rx_rst_n pl_eth_10g_rx_rst_n_0) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 510 378 549 392] + /Parent 26 0 R + /Prev 33 0 R + /Next 35 0 R +>> +endobj +35 0 obj +<< + /Title (sfp_tx_dis pl_eth_10g_sfp_tx_dis_0) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 456 459 473 472] + /Parent 26 0 R + /Prev 34 0 R + /Next 36 0 R +>> +endobj +36 0 obj +<< + /Title (tx_data_fifo pl_eth_10g_tx_data_fifo_0) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 361 409 403 428] + /Parent 26 0 R + /Prev 35 0 R + /Next 37 0 R +>> +endobj +37 0 obj +<< + /Title (tx_rst_n pl_eth_10g_tx_rst_n_0) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 510 358 549 372] + /Parent 26 0 R + /Prev 36 0 R + /Next 38 0 R +>> +endobj +38 0 obj +<< + /Title (util_vector_logic_0 pl_eth_10g_util_vector_logic_0_0) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 362 358 402 372] + /Parent 26 0 R + /Prev 37 0 R + /Next 39 0 R +>> +endobj +39 0 obj +<< + /Title (xlconcat_0 pl_eth_10g_xlconcat_0_0) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 372 301 393 317] + /Parent 26 0 R + /Prev 38 0 R + /Next 40 0 R +>> +endobj +40 0 obj +<< + /Title (xlconstant_0 pl_eth_10g_xlconstant_0_0) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 374 281 391 294] + /Parent 26 0 R + /Prev 39 0 R + /Next 41 0 R +>> +endobj +41 0 obj +<< + /Title (xlconstant_1 pl_eth_10g_xlconstant_1_0) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 374 390 391 404] + /Parent 26 0 R + /Prev 40 0 R + /Next 42 0 R +>> +endobj +42 0 obj +<< + /Title (xlconstant_2 pl_eth_10g_xlconstant_2_0) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 374 375 391 388] + /Parent 26 0 R + /Prev 41 0 R + /Next 43 0 R +>> +endobj +43 0 obj +<< + /Title (xxv_ethernet_0 pl_eth_10g_xxv_ethernet_0_0) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 438 351 490 428] + /Parent 26 0 R + /Prev 42 0 R + /Next 44 0 R +>> +endobj +44 0 obj +<< + /Title (zynq_ultra_ps_e_0 pl_eth_10g_zynq_ultra_ps_e_0_0) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 107 440 192 463] + /Parent 26 0 R + /Prev 43 0 R +>> +endobj +26 0 obj +<< + /Title (instances) + /C [0.0 0.4 0.0] + /F 1 + /Parent 25 0 R + /First 27 0 R + /Last 44 0 R + /Count 18 + /Next 45 0 R +>> +endobj +46 0 obj +<< + /Title (gt_ref_clk input) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 33 388 46 391] + /Parent 45 0 R + /Next 47 0 R +>> +endobj +47 0 obj +<< + /Title (gt_rx input) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 37 385 46 388] + /Parent 45 0 R + /Prev 46 0 R + /Next 48 0 R +>> +endobj +48 0 obj +<< + /Title (gt_tx output) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 561 417 569 420] + /Parent 45 0 R + /Prev 47 0 R +>> +endobj +45 0 obj +<< + /Title (ports) + /C [0.0 0.4 0.0] + /F 1 + /Parent 25 0 R + /First 46 0 R + /Last 48 0 R + /Count 3 + /Prev 26 0 R + /Next 49 0 R +>> +endobj +50 0 obj +<< + /Title (sfp_tx_dis output) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 561 464 577 467] + /Parent 49 0 R +>> +endobj +49 0 obj +<< + /Title (portBuses) + /C [0.0 0.4 0.0] + /F 1 + /Parent 25 0 R + /First 50 0 R + /Last 50 0 R + /Count 1 + /Prev 45 0 R + /Next 51 0 R +>> +endobj +52 0 obj +<< + /Title (Net) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 273 392 508 470] + /Parent 51 0 R + /Next 53 0 R +>> +endobj +53 0 obj +<< + /Title (Net1) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 49 375 439 495] + /Parent 51 0 R + /Prev 52 0 R + /Next 54 0 R +>> +endobj +54 0 obj +<< + /Title (Net2) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 391 381 439 394] + /Parent 51 0 R + /Prev 53 0 R + /Next 55 0 R +>> +endobj +55 0 obj +<< + /Title (S00_AXI_1) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 192 456 220 456] + /Parent 51 0 R + /Prev 54 0 R + /Next 56 0 R +>> +endobj +56 0 obj +<< + /Title (axi_dma_0_M_AXIS_MM2S) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 327 420 362 437] + /Parent 51 0 R + /Prev 55 0 R + /Next 57 0 R +>> +endobj +57 0 obj +<< + /Title (axi_dma_0_M_AXI_MM2S) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 327 442 363 501] + /Parent 51 0 R + /Prev 56 0 R + /Next 58 0 R +>> +endobj +58 0 obj +<< + /Title (axi_dma_0_M_AXI_S2MM) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 327 439 363 498] + /Parent 51 0 R + /Prev 57 0 R + /Next 59 0 R +>> +endobj +59 0 obj +<< + /Title (axi_dma_0_M_AXI_SG) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 327 445 363 504] + /Parent 51 0 R + /Prev 58 0 R + /Next 60 0 R +>> +endobj +60 0 obj +<< + /Title (axi_dma_0_mm2s_introut) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 327 310 372 428] + /Parent 51 0 R + /Prev 59 0 R + /Next 61 0 R +>> +endobj +61 0 obj +<< + /Title (axi_dma_0_mm2s_prmry_reset_out_n) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 327 334 363 434] + /Parent 51 0 R + /Prev 60 0 R + /Next 62 0 R +>> +endobj +62 0 obj +<< + /Title (axi_dma_0_s2mm_introut) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 327 307 372 424] + /Parent 51 0 R + /Prev 61 0 R + /Next 63 0 R +>> +endobj +63 0 obj +<< + /Title (axi_dma_0_s2mm_prmry_reset_out_n) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 327 349 363 431] + /Parent 51 0 R + /Prev 62 0 R + /Next 64 0 R +>> +endobj +64 0 obj +<< + /Title (axi_pl_ps_M00_AXI) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 47 455 410 486] + /Parent 51 0 R + /Prev 63 0 R + /Next 65 0 R +>> +endobj +65 0 obj +<< + /Title (axis_data_fifo_0_M_AXIS) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 403 411 439 422] + /Parent 51 0 R + /Prev 64 0 R + /Next 66 0 R +>> +endobj +66 0 obj +<< + /Title (axis_data_fifo_1_M_AXIS) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 272 407 556 457] + /Parent 51 0 R + /Prev 65 0 R + /Next 67 0 R +>> +endobj +67 0 obj +<< + /Title (dma_rx_rst_Res) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 401 349 439 367] + /Parent 51 0 R + /Prev 66 0 R + /Next 68 0 R +>> +endobj +68 0 obj +<< + /Title (dma_tx_rst_Res) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 401 334 439 364] + /Parent 51 0 R + /Prev 67 0 R + /Next 69 0 R +>> +endobj +69 0 obj +<< + /Title (gt_ref_clk_1) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 44 389 439 431] + /Parent 51 0 R + /Prev 68 0 R + /Next 70 0 R +>> +endobj +70 0 obj +<< + /Title (gt_rx_1) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 44 385 439 419] + /Parent 51 0 R + /Prev 69 0 R + /Next 71 0 R +>> +endobj +71 0 obj +<< + /Title (rst_ps8_0_99M_interconnect_aresetn) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 198 401 363 492] + /Parent 51 0 R + /Prev 70 0 R + /Next 72 0 R +>> +endobj +72 0 obj +<< + /Title (tx_rst_n_Res) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 348 384 554 468] + /Parent 51 0 R + /Prev 71 0 R + /Next 73 0 R +>> +endobj +73 0 obj +<< + /Title (util_vector_logic_0_Res) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 346 364 557 474] + /Parent 51 0 R + /Prev 72 0 R + /Next 74 0 R +>> +endobj +74 0 obj +<< + /Title (xlconcat_0_dout) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 49 308 413 448] + /Parent 51 0 R + /Prev 73 0 R + /Next 75 0 R +>> +endobj +75 0 obj +<< + /Title (xlconstant_0_dout) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 391 287 439 361] + /Parent 51 0 R + /Prev 74 0 R + /Next 76 0 R +>> +endobj +76 0 obj +<< + /Title (xlconstant_1_dout) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 391 397 439 407] + /Parent 51 0 R + /Prev 75 0 R + /Next 77 0 R +>> +endobj +77 0 obj +<< + /Title (xlconstant_2_dout) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 473 465 561 465] + /Parent 51 0 R + /Prev 76 0 R + /Next 78 0 R +>> +endobj +78 0 obj +<< + /Title (xxv_ethernet_0_axis_rx_0) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 490 408 508 408] + /Parent 51 0 R + /Prev 77 0 R + /Next 79 0 R +>> +endobj +79 0 obj +<< + /Title (xxv_ethernet_0_gt_tx) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 489 410 562 419] + /Parent 51 0 R + /Prev 78 0 R + /Next 80 0 R +>> +endobj +80 0 obj +<< + /Title (xxv_ethernet_0_tx_clk_out_0) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 271 325 494 477] + /Parent 51 0 R + /Prev 79 0 R + /Next 81 0 R +>> +endobj +81 0 obj +<< + /Title (xxv_ethernet_0_user_rx_reset_0) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 489 383 510 385] + /Parent 51 0 R + /Prev 80 0 R + /Next 82 0 R +>> +endobj +82 0 obj +<< + /Title (xxv_ethernet_0_user_tx_reset_0) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 489 365 510 378] + /Parent 51 0 R + /Prev 81 0 R + /Next 83 0 R +>> +endobj +83 0 obj +<< + /Title (zups_M00_AXI) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 259 444 282 444] + /Parent 51 0 R + /Prev 82 0 R + /Next 84 0 R +>> +endobj +84 0 obj +<< + /Title (zups_M01_AXI) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 258 414 439 456] + /Parent 51 0 R + /Prev 83 0 R + /Next 85 0 R +>> +endobj +85 0 obj +<< + /Title (zups_Res) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 401 364 439 389] + /Parent 51 0 R + /Prev 84 0 R + /Next 86 0 R +>> +endobj +86 0 obj +<< + /Title (zups_peripheral_aresetn) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 198 372 439 486] + /Parent 51 0 R + /Prev 85 0 R + /Next 87 0 R +>> +endobj +87 0 obj +<< + /Title (zups_peripheral_reset) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 262 381 439 432] + /Parent 51 0 R + /Prev 86 0 R + /Next 88 0 R +>> +endobj +88 0 obj +<< + /Title (zynq_ultra_ps_e_0_pl_resetn0) + /C [0.0 0.0 0.4] + /Dest [10 0 R /FitR 192 365 363 454] + /Parent 51 0 R + /Prev 87 0 R +>> +endobj +51 0 obj +<< + /Title (nets) + /C [0.0 0.4 0.0] + /F 1 + /Parent 25 0 R + /First 52 0 R + /Last 88 0 R + /Count 37 + /Prev 49 0 R + /Next 89 0 R +>> +endobj +89 0 obj +<< + /Title (netBundles) + /C [0.0 0.4 0.0] + /F 1 + /Parent 25 0 R + /First 0 0 R + /Last 0 0 R + /Count 0 + /Prev 51 0 R +>> +endobj +25 0 obj +<< + /Title (Nlview page 1) + /C [0.4 0.0 0.0] + /Dest [10 0 R /Fit] + /Parent 7 0 R + /First 26 0 R + /Last 89 0 R + /Count 5 +>> +endobj +8 0 obj +<< +>> +endobj +9 0 obj +<< + /Im0 12 0 R + /Im1 13 0 R + /Im2 14 0 R + /Im3 15 0 R + /Im4 16 0 R + /Im5 17 0 R + /Im6 18 0 R + /Im7 19 0 R + /Im8 20 0 R + /Im9 21 0 R + /Im10 22 0 R + /Im11 23 0 R + /Im12 24 0 R +>> +endobj +90 0 obj +<< + /Type /XObject + /Subtype /Image + /ColorSpace /DeviceGray + /BitsPerComponent 8 + /Width 16 + /Height 16 + /Length 256 +>> +stream +ÿÿÿÿ +ÿÿ ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿendstream +endobj +12 0 obj +<< + /Type /XObject + /Subtype /Image + /ColorSpace /DeviceRGB + /BitsPerComponent 8 + /Width 16 + /Height 16 + /SMask 90 0 R + /Length 768 +>> +stream +IIIfff€€€2N‚2N‚```2N‚2N‚MMM2N‚2N‚UUU€€€@@@UUU2N‚2N‚[[[2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚endstream +endobj +91 0 obj +<< + /Type /XObject + /Subtype /Image + /ColorSpace /DeviceGray + /BitsPerComponent 8 + /Width 10 + /Height 18 + /Length 180 +>> +stream +ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿendstream +endobj +13 0 obj +<< + /Type /XObject + /Subtype /Image + /ColorSpace /DeviceRGB + /BitsPerComponent 8 + /Width 10 + /Height 18 + /SMask 91 0 R + /Length 540 +>> +stream +?nž?nž?nž?nž?nž?nž?nž?nžÿÿCk¡Df™9qœ> +stream +ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿendstream +endobj +14 0 obj +<< + /Type /XObject + /Subtype /Image + /ColorSpace /DeviceRGB + /BitsPerComponent 8 + /Width 10 + /Height 18 + /SMask 92 0 R + /Length 540 +>> +stream +?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nžendstream +endobj +93 0 obj +<< + /Type /XObject + /Subtype /Image + /ColorSpace /DeviceGray + /BitsPerComponent 8 + /Width 10 + /Height 18 + /Length 180 +>> +stream +ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ  ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿðÿÿÿÿÿÿÿÿÿÿÿÿÿendstream +endobj +15 0 obj +<< + /Type /XObject + /Subtype /Image + /ColorSpace /DeviceRGB + /BitsPerComponent 8 + /Width 10 + /Height 18 + /SMask 93 0 R + /Length 540 +>> +stream +?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž;v+€ªFt¢3f™€€+€ª;l?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nžÿÿ3f™?nž?nž?nž?nž?nž?nž?ož?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nžendstream +endobj +94 0 obj +<< + /Type /XObject + /Subtype /Image + /ColorSpace /DeviceGray + /BitsPerComponent 8 + /Width 10 + /Height 18 + /Length 180 +>> +stream +ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿendstream +endobj +16 0 obj +<< + /Type /XObject + /Subtype /Image + /ColorSpace /DeviceRGB + /BitsPerComponent 8 + /Width 10 + /Height 18 + /SMask 94 0 R + /Length 540 +>> +stream +?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nžÿ?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nžendstream +endobj +95 0 obj +<< + /Type /XObject + /Subtype /Image + /ColorSpace /DeviceGray + /BitsPerComponent 8 + /Width 48 + /Height 60 + /Length 2880 +>> +stream +ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ@@@@@@@@@@@@@@@@@@@@@@ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿppÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÐÐÿÿÿÿÿÿÿÿÿÿÿÿ@@@@@@@@@@@0ðÿÐÐÿð0@@@@@@@@@@@0ðÿÐÐÿð00ðÿÐÐÿð00ðÿÐÐÿð00ðÿÐÐÿð00ðÿÓÓÿð00ñÿÿñ0ÙÿÿÙÐÿóóÿÐÐÿð00ðÿÐÐÿð00ðÿÐÐÿð00ðÿÐÐÿð00ðÿÐÿÿÿÿÿÿÿÿÿÿÿÐÿð00ðÿÐÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ ÿð00ðÿ ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÀ00Àÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ@@@@@@@@@@@@@@@@@@@@@@ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿppÿÿÿÿÿÿÿÿÿÿÿ@@@@@@@@@@@ÿÐÐÿ@@@@@@@@@@@0ðÿÐÐÿð00ðÿÐÐÿð00ðÿÐÐÿð00ðÿÐÐÿð00ðÿÐÐÿð00ðÿÓÓÿð00ñÿÿñ0ÙÿÿÙÐÿóóÿÐÐÿð00ðÿÐÐÿð00ðÿÐÐÿð00ðÿÐÿÿÿÿÿÿÿÿÿÿÿÐÿð00ðÿÐÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÐÿð00ðÿÐÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ ÿð00ðÿ ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÀ00Àÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ@@@@@@@@@@@@@@@@@@@@@@ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ@@@@@@@@@@@@@@@@@@@@@@endstream +endobj +17 0 obj +<< + /Type /XObject + /Subtype /Image + /ColorSpace /DeviceRGB + /BitsPerComponent 8 + /Width 48 + /Height 60 + /SMask 95 0 R + /Length 8640 +>> +stream +.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Nƒ.Nƒ.Nƒ.Nƒ.Nƒ.Nƒ.Nƒ.Nƒ.Nƒ.Nƒ.Nƒ.Nƒ.Nƒ.Nƒ.Nƒ.Nƒ.Nƒ.Nƒ.Nƒ.Nƒ.Nƒ.Nƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.P„0P€0P€.P„.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Pƒ.Oƒ/Nƒ0P€0P€/Nƒ.Oƒ.Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0P….Oƒ.Oƒ/Nƒ0P€0P€/Nƒ.Oƒ.Oƒ0P…0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0P….Oƒ.Oƒ/Nƒ0P€0P€/Nƒ.Oƒ.Oƒ0P…0P….Oƒ.Oƒ/Nƒ0P€0P€/Nƒ.Oƒ.Oƒ0P…0P….Oƒ.Oƒ/Nƒ0P€0P€/Nƒ.Oƒ.Oƒ0P…0P….Oƒ.Oƒ/Nƒ0P€0P€/Nƒ.Oƒ.Oƒ0P…0P….Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ0P…0P…-Oƒ.Oƒ.Oƒ-Oƒ0P…0P€.O‚.Oƒ.Oƒ.O‚0P€0P€/Nƒ.Oƒ.Oƒ.Oƒ.Oƒ/Nƒ0P€0P€/Nƒ.Oƒ.Oƒ0P…0P….Oƒ.Oƒ/Nƒ0P€0P€/Nƒ.Oƒ.Oƒ0P…0P….Oƒ.Oƒ/Nƒ0P€0P€/Nƒ.Oƒ.Oƒ0P…0P….Oƒ.Oƒ/Nƒ0P€0P€/Nƒ.Oƒ.Oƒ0P…0P….Oƒ.Oƒ/Nƒ0P€.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ0P€/Nƒ.Oƒ.Oƒ0P…0P….Oƒ.Oƒ/Nƒ0P€.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Pƒ.Oƒ.Oƒ0P…0P….Oƒ.Oƒ.Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ0P€.Nƒ0P…0P….Nƒ0P€.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Nƒ.Nƒ.Nƒ.Nƒ.Nƒ.Nƒ.Nƒ.Nƒ.Nƒ.Nƒ.Nƒ.Nƒ.Nƒ.Nƒ.Nƒ.Nƒ.Nƒ.Nƒ.Nƒ.Nƒ.Nƒ.Nƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.P„0P€0P€.P„.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ.Pƒ.Oƒ/Nƒ0P€0P€/Nƒ.Oƒ.Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0P….Oƒ.Oƒ/Nƒ0P€0P€/Nƒ.Oƒ.Oƒ0P…0P….Oƒ.Oƒ/Nƒ0P€0P€/Nƒ.Oƒ.Oƒ0P…0P….Oƒ.Oƒ/Nƒ0P€0P€/Nƒ.Oƒ.Oƒ0P…0P….Oƒ.Oƒ/Nƒ0P€0P€/Nƒ.Oƒ.Oƒ0P…0P….Oƒ.Oƒ/Nƒ0P€0P€/Nƒ.Oƒ.Oƒ0P…0P….Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ0P…0P…-Oƒ.Oƒ.Oƒ-Oƒ0P…0P€.O‚.Oƒ.Oƒ.O‚0P€0P€/Nƒ.Oƒ.Oƒ.Oƒ.Oƒ/Nƒ0P€0P€/Nƒ.Oƒ.Oƒ0P…0P….Oƒ.Oƒ/Nƒ0P€0P€/Nƒ.Oƒ.Oƒ0P…0P….Oƒ.Oƒ/Nƒ0P€0P€/Nƒ.Oƒ.Oƒ0P…0P….Oƒ.Oƒ/Nƒ0P€.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ0P€/Nƒ.Oƒ.Oƒ0P…0P….Oƒ.Oƒ/Nƒ0P€.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ0P€/Nƒ.Oƒ.Oƒ0P…0P….Oƒ.Oƒ/Nƒ0P€.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Pƒ.Oƒ.Oƒ0P…0P….Oƒ.Oƒ.Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ0P€.Nƒ0P…0P….Nƒ0P€.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Nƒ.Nƒ.Nƒ.Nƒ.Nƒ.Nƒ.Nƒ.Nƒ.Nƒ.Nƒ.Nƒ.Nƒ.Nƒ.Nƒ.Nƒ.Nƒ.Nƒ.Nƒ.Nƒ.Nƒ.Nƒ.Nƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒ0Pƒendstream +endobj +96 0 obj +<< + /Type /XObject + /Subtype /Image + /ColorSpace /DeviceGray + /BitsPerComponent 8 + /Width 44 + /Height 44 + /Length 1936 +>> +stream +3333333333333333333333333'~ÞÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÀc?êÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÌ9ùÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÞ äÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ±rÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ3Ïÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿöÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿº ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÏÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÒÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÒÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÒÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÒÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÒÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÒÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÒÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÒÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÒÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÒÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÒÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÒÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÒÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÒÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÒÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÒÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÒÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÒÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÒÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÒÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÒÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÒÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÒÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÒ ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÌðÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ´½ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ~Zÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿù!ÌÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿçÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿºÀÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ“H¥ÌùÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿíÌ0endstream +endobj +18 0 obj +<< + /Type /XObject + /Subtype /Image + /ColorSpace /DeviceRGB + /BitsPerComponent 8 + /Width 44 + /Height 44 + /SMask 96 0 R + /Length 5808 +>> +stream +2P‚2P‚2P‚2P‚2P‚2P‚2P‚2P‚2P‚2P‚2P‚2P‚2P‚2P‚2P‚2P‚2P‚2P‚2P‚2P‚2P‚2P‚2P‚2P‚2P‚4Nƒ3Uˆ3O‚3N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚1MUUª1M‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚3M€1L‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚3N‚1I†+U€2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚1N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2P‚3Nƒ2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚3N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚1N‚+U€2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚3Nƒ3Uˆ2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚3Uˆ2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚3Uˆ2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚3Uˆ2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚3Uˆ2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚3Uˆ2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚3Uˆ2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚3Uˆ2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚3Uˆ2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚3Uˆ2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚3Uˆ2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚3Uˆ2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚3Uˆ2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚3Uˆ2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚3Uˆ2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚3Uˆ2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚3Uˆ2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚3Uˆ2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚3Uˆ2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚3Uˆ2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚3Uˆ2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚3Uˆ2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚3Uˆ2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚3Uˆ2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚9UŽ2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚3O‚3O‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚.MƒUUª2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚3N‚3M€2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚1N‚+U€3M€2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚+U€2Nƒ1M‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚1M‚2N‚3N‚0P€endstream +endobj +97 0 obj +<< + /Type /XObject + /Subtype /Image + /ColorSpace /DeviceGray + /BitsPerComponent 8 + /Width 10 + /Height 18 + /Length 180 +>> +stream +ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿendstream +endobj +19 0 obj +<< + /Type /XObject + /Subtype /Image + /ColorSpace /DeviceRGB + /BitsPerComponent 8 + /Width 10 + /Height 18 + /SMask 97 0 R + /Length 540 +>> +stream +?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nžendstream +endobj +98 0 obj +<< + /Type /XObject + /Subtype /Image + /ColorSpace /DeviceGray + /BitsPerComponent 8 + /Width 100 + /Height 48 + /Length 4800 +>> +stream +``€ÿÀ €ÿÿÿ€€ÿÿÿÿà@€ÿÿÿÿÿÿ €ÿÿÿÿÿÿÿð`€ÿÿÿÿÿÿÿÿÿÀ0€ÿÿÿÿÿÿÿÿÿÿÿ€€ÿÿÿÿÿÿÿÿÿÿÿÿà@€ÿÿÿÿ Àÿÿÿÿÿÿÿÿ°€ÿÿÿÿ€`ðÿÿÿÿÿÿÿðp€ÿÿÿÿ€ ÿÿÿÿÿÿÿÿÀ0€ÿÿÿÿ€@Ðÿÿÿÿÿÿÿÿ€0P€€p@€ÿÿÿÿ€€ðÿÿÿÿÿÿÿàP€àÿÿÿÿÿÿð  €ÿÿÿÿ€ Àÿÿÿÿÿÿÿÿ° `ðÿÿÿÿÿÿÿÿÿÿÿ€ÿÿÿÿ€Pðÿÿÿÿÿÿÿðp ÿÿÿÿÿÿÿÿÿÿÿÿÿÿЀÿÿÿÿ€ÿÿÿÿÿÿÿÿÐ0 ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿЀÿÿÿÿ€@ÐÿÿÿÿÿÿÿÿPÿÿÿÿÿÿÿЀÀÿÿÿÿÿÿÿ°€ÿÿÿÿ€€ðÿÿÿÿÿÿÿàPðÿÿÿÿÿÀ €ÿÿÿÿÿÿ@€ÿÿÿÿ€ °ÿÿÿÿÿÿÿÿÀ pÿÿÿÿÿ `ÿÿÿÿÿÀ€ÿÿÿÿ€Pàÿÿÿÿÿÿÿð€ÐÿÿÿÿÀ€ÿÿÿÿÿ `€€€€€€€€€€€€€€€€€€€€€€€€€€€€€Àÿÿÿÿ€ÿÿÿÿÿÿÿÿÓÿÿÿÿÿ@àÿÿÿÿ°€€€€€€€€€€€`Àÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ€0ÐÿÿÿÿÿÿÿÿÿÿÿàÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÀÀÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ€pðÿÿÿÿÿÿÿÿÿÀpÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÀÀÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ€pðÿÿÿÿÿÿÿÿÿÀ€ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÀÀÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ€0ÀÿÿÿÿÿÿÿÿÿÿÿàÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÀ`€€€€€€€€€€€€€€€€€€€€€€€€€€€€€Àÿÿÿÿ€€ÿÿÿÿÿÿÿÿâÿÿÿÿÿ@àÿÿÿÿ°€€€€€€€€€€€`€ÿÿÿÿ€@àÿÿÿÿÿÿÿÿ€ÐÿÿÿÿÀpÿÿÿÿÿ €ÿÿÿÿ€°ÿÿÿÿÿÿÿÿÀ pÿÿÿÿÿ `ÿÿÿÿÿÀ€ÿÿÿÿ€pðÿÿÿÿÿÿÿð`ðÿÿÿÿÿ° €ÿÿÿÿÿÿ@€ÿÿÿÿ€0ÐÿÿÿÿÿÿÿÿPÿÿÿÿÿÿÿÀ€€°ðÿÿÿÿÿÿ°€ÿÿÿÿ€ÿÿÿÿÿÿÿÿÐ@ ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿЀÿÿÿÿ€Pàÿÿÿÿÿÿÿð€ ÿÿÿÿÿÿÿÿÿÿÿÿÿÿЀÿÿÿÿ€ °ÿÿÿÿÿÿÿÿÀ pðÿÿÿÿÿÿÿÿÿÿÿ€ÿÿÿÿ€pðÿÿÿÿÿÿÿðPðÿÿÿÿÿÿÿ°@€ÿÿÿÿ€0Ðÿÿÿÿÿÿÿÿ0`€€€@€ÿÿÿÿ€ÿÿÿÿÿÿÿÿÐ0€ÿÿÿÿ€Pðÿÿÿÿÿÿÿðp€ÿÿÿÿ Àÿÿÿÿÿÿÿÿ° €ÿÿÿÿÿÿÿÿÿÿÿÿàP€ÿÿÿÿÿÿÿÿÿÿÿ€ÿÿÿÿÿÿÿÿÿÐ0€ÿÿÿÿÿÿÿðp€ÿÿÿÿÿÿ°€ÿÿÿÿà@€ÿÿÿ€€ÿÀ0`pendstream +endobj +20 0 obj +<< + /Type /XObject + /Subtype /Image + /ColorSpace /DeviceRGB + /BitsPerComponent 8 + /Width 100 + /Height 48 + /SMask 98 0 R + /Length 14400 +>> +stream +-P‚-P‚.Pƒ.Oƒ.Nƒ0P€.Pƒ.Oƒ.Oƒ.Oƒ.Pƒ.Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ0Pƒ.Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Pƒ0P€.Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ-P‚.Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Nƒ0P….Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Pƒ0P€.Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ0Pƒ.Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Pƒ.Nƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.P‚0P€.Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Pƒ-P‚.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.P„.Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Pƒ0P€.Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Nƒ0P….Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Pƒ0Pƒ/Nƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Pƒ0P€0P…-Pƒ.Pƒ.Pƒ.P„0Pƒ.Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Pƒ.Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ-Pƒ0P€.Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Pƒ0P€.Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Pƒ0P€.Nƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.P‚0P€-P‚.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Pƒ0P€.Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Pƒ-Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.P„.Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ/Nƒ0P€.Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Pƒ0P€.Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ/Nƒ0P….Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ/Nƒ0P€.Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Pƒ0Pƒ/Nƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Pƒ0P€-Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ/Nƒ.Pƒ.Pƒ.Nƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.P‚.Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Pƒ.Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ-Pƒ0P€.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Nƒ0P€0P€.Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ0Pƒ.Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Pƒ0P€.P‚.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Nƒ0P€.P„.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Pƒ-P‚.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Nƒ.Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Pƒ-Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Pƒ/Nƒ.Oƒ.Oƒ.Oƒ.Oƒ.Nƒ.Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ0P€-P‚.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Nƒ.Oƒ.Oƒ.Oƒ.Oƒ.Pƒ0P€.Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ0Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.P‚.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ-P‚.Nƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Pƒ0P…/Nƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Nƒ.Nƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Pƒ.P„.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Nƒ.P„.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Nƒ.Nƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Pƒ.P„.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Nƒ.Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Nƒ.Nƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Pƒ0P….Nƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Nƒ-P‚.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Nƒ.Oƒ.Oƒ.Oƒ.Oƒ.Pƒ0P€.Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ0Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.P‚.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ.Pƒ-P‚.Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Pƒ0Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Pƒ/Nƒ.Oƒ.Oƒ.Oƒ.Oƒ.Nƒ.P„.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ0P€.Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Pƒ0P€.P‚.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Nƒ0P€.P„.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Pƒ-P‚.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Nƒ.Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Pƒ.P„.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ-P‚0P€.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.P‚0P€0P€.Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ0Pƒ.Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Pƒ0P…/Nƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Pƒ0P€-Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Nƒ.Pƒ.Pƒ.P‚.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.P‚.Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Pƒ0P€.Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ/Nƒ0Pƒ.Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ/Nƒ0P€.Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Pƒ-Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Pƒ.Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ/Nƒ0P€.Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Pƒ0P€.P‚.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Nƒ0P€.P„.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Pƒ0P€.Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Pƒ.P„.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ-Pƒ0P€.Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.P‚0Pƒ.Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Pƒ0P…/Nƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Pƒ0P€0P…-P‚.Pƒ.Pƒ.Pƒ0Pƒ0P€.Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Pƒ0P€.Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ/Nƒ0P….Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Pƒ-Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.P„.Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Pƒ.Nƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.P‚0P€.Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ-Pƒ.Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Pƒ0P€.Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ/Nƒ0P….Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.P„.Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ.P‚0P€.Pƒ.Oƒ.Oƒ.Oƒ.Oƒ.Oƒ0Pƒ.Pƒ.Oƒ.Oƒ.Oƒ.Pƒ0P€.Pƒ.Oƒ.Nƒ0P…-P‚.P„endstream +endobj +99 0 obj +<< + /Type /XObject + /Subtype /Image + /ColorSpace /DeviceGray + /BitsPerComponent 8 + /Width 10 + /Height 18 + /Length 180 +>> +stream +ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿendstream +endobj +21 0 obj +<< + /Type /XObject + /Subtype /Image + /ColorSpace /DeviceRGB + /BitsPerComponent 8 + /Width 10 + /Height 18 + /SMask 99 0 R + /Length 540 +>> +stream +?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nžendstream +endobj +100 0 obj +<< + /Type /XObject + /Subtype /Image + /ColorSpace /DeviceGray + /BitsPerComponent 8 + /Width 10 + /Height 18 + /Length 180 +>> +stream +ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÎÿÿÿendstream +endobj +22 0 obj +<< + /Type /XObject + /Subtype /Image + /ColorSpace /DeviceRGB + /BitsPerComponent 8 + /Width 10 + /Height 18 + /SMask 100 0 R + /Length 540 +>> +stream +Fl“?nž?nž?nž?nž?nžFl“?nž?nž?nž?nž?nžFl“?nž?nž?nž?nž?nžFl“?nž?nž?nž?nž?nžFl“?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nž?nžendstream +endobj +101 0 obj +<< + /Type /XObject + /Subtype /Image + /ColorSpace /DeviceGray + /BitsPerComponent 8 + /Width 16 + /Height 16 + /Length 256 +>> +stream + + ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿendstream +endobj +23 0 obj +<< + /Type /XObject + /Subtype /Image + /ColorSpace /DeviceRGB + /BitsPerComponent 8 + /Width 16 + /Height 16 + /SMask 101 0 R + /Length 768 +>> +stream +IIIfff€€€```MMMUUU€€€@@@UUU[[[2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚2N‚endstream +endobj +102 0 obj +<< + /Type /XObject + /Subtype /Image + /ColorSpace /DeviceGray + /BitsPerComponent 8 + /Width 269 + /Height 114 + /Length 30666 +>> +stream +`€€€€€€€€€€€€€€€€€€€€€€€€€€€€€0ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ°`ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ pÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿp ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ`°ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ0Ðÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿð0àÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÐ0ðÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÐ@ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ `ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ€ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ` ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿPÀÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿð0Ðÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿð ðÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÐ0ðÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÀ@ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ `ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ€ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ` ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ@ @€€€€€€€p@Ðÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿð0P àÿÿÿÿÿÿÿÿÿÿÿÿà@Ðÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿàÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ0ÿÿÿÿÿÿÐ0ÿÿÿÿÿÿð@ÿÿÿÿÿð@ÿÿÿÿÿ€ €ðÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿà` ÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀ€0@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿpÀÿÿÿÿÿÿP@ÿÿÿÿÿÿ°@ÿÿÿÿÿ€€ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿàP0ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿàÿÿÿÿÿðpÿÿÿÿÿÿ°@ÿÿÿÿÿÿÿp@ÿÿÿÿÿ€@àÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ°0ðÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÐÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ@ÿÿÿÿÿÿ° ðÿÿÿÿÿð@ÿÿÿÿÿÿÿð @ÿÿÿÿÿ€€ÿÿÿÿÿÿÿÿÿÿðÀ€€€€°Ðÿÿÿÿÿÿÿÿÿÿà0ÐÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÐÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ°ÿÿÿÿÿÿ@ÀÿÿÿÿÿÿP@ÿÿÿÿÿÿÿÿÀ@ÿÿÿÿÿ€ ÿÿÿÿÿÿÿÿàp0P°ÿÿÿÿÿÿÿÿð0Ðÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿð0@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@€ÿÿÿÿÿÿÐðÿÿÿÿÿà`ÿÿÿÿÿÿ°@ÿÿÿÿÿÿÿÿÿ€ ÿÿÿÿÿ€ ÿÿÿÿÿÿÿàP  ÿÿÿÿÿÿÿð0 ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿð0ðÿÿÿÿÿð0`ÿÿÿÿÿÿ€ðÿÿÿÿÿð@ÿÿÿÿÿÿÿÿÿÿ0ÿÿÿÿÿ€ ÿÿÿÿÿÿÿ€@ðÿÿÿÿÿÿà ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ`°ÿÿÿÿÿÿpÀÿÿÿÿÿÿ0°ÿÿÿÿÿÿP@ÿÿÿÿÿÿÿÿÿÿÐÿÿÿÿÿ€pÿÿÿÿÿÿð@ÐÿÿÿÿÿÿÀ`ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿppÿÿÿÿÿÿ° ðÿÿÿÿÿÀPÿÿÿÿÿÿ°@ÿÿÿÿÿàÿÿÿÿÿÿÿÿÿÿ€0ÿÿÿÿÿÿð0Ðÿÿÿÿÿÿp`ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ 0ðÿÿÿÿÿð€ÿÿÿÿÿÿ`ðÿÿÿÿÿð@ÿÿÿÿÿ€Àÿÿÿÿÿ@ÿÿÿÿÿ€Ðÿÿÿÿÿÿ0àÿÿÿÿÿð 0ðÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ°Ðÿÿÿÿÿÿ@ÐÿÿÿÿÿðÿÿÿÿÿÿP@ÿÿÿÿÿ€ ðÿÿÿÿàÿÿÿÿÿ€€ÿÿÿÿÿÿp0ÿÿÿÿÿÿ  ðÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÐÿÿÿÿÿÿ0ÿÿÿÿÿÿ @ÿÿÿÿÿÿ°@ÿÿÿÿÿ€pÿÿÿÿÿ ÿÿÿÿÿ€ðÿÿÿÿÿÀ€ÿÿÿÿÿÿ ÐÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿàPÿÿÿÿÿÿÐÿÿÿÿÿÿ@àÿÿÿÿÿð@ÿÿÿÿÿ€ÀÿÿÿÿÿPÿÿÿÿÿ€€ÿÿÿÿÿÿ àÿÿÿÿÿ Ðÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿð0ðÿÿÿÿÿð0àÿÿÿÿÿàÿÿÿÿÿÿP@ÿÿÿÿÿ€ðÿÿÿÿðÿÿÿÿÿ€ðÿÿÿÿÿ€`ÿÿÿÿÿÿ ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ@Àÿÿÿÿÿÿp@ÿÿÿÿÿÿ€0ÿÿÿÿÿÿ°@ÿÿÿÿÿ€PÿÿÿÿÿÀÿÿÿÿÿ€`ÿÿÿÿÿðàÿÿÿÿÿpÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ`€ÿÿÿÿÿÿ°°ÿÿÿÿÿÿ Ðÿÿÿÿÿð@ÿÿÿÿÿ€°ÿÿÿÿÿpÿÿÿÿÿ€Ðÿÿÿÿÿ €ÿÿÿÿÿÐ`ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ€0ÿÿÿÿÿÿððÿÿÿÿÿÀ€ÿÿÿÿÿÿP@ÿÿÿÿÿ€ðÿÿÿÿð ÿÿÿÿÿ€ ÿÿÿÿÿÿ0 ÿÿÿÿÿÿ Pÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ àÿÿÿÿÿÿ@pÿÿÿÿÿÿP0ÿÿÿÿÿÿ°@ÿÿÿÿÿ€PÿÿÿÿÿÐÿÿÿÿÿ€pÿÿÿÿÿàÐÿÿÿÿÿp0ðÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿРÿÿÿÿÿÿ€ÀÿÿÿÿÿðÀÿÿÿÿÿð@ÿÿÿÿÿ€°ÿÿÿÿÿ€ÿÿÿÿÿ€Àÿÿÿÿÿ€ÿÿÿÿÿ° ðÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÐ`ÿÿÿÿÿÿÐ ÿÿÿÿÿÿpÿÿÿÿÿÿP@ÿÿÿÿÿ€ðÿÿÿÿÿ0ÿÿÿÿÿ€ÿÿÿÿÿÿ@@ÿÿÿÿÿðÐÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿð ðÿÿÿÿÿð €ÿÿÿÿÿÿ@ ðÿÿÿÿÿ°@ÿÿÿÿÿ€@ÿÿÿÿÿÐÿÿÿÿÿ€@ÿÿÿÿÿÿÿÿÿÿÿÿ Àÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿð0Ðÿÿÿÿÿÿ`ÐÿÿÿÿÿÐÀÿÿÿÿÿð@ÿÿÿÿÿ€ÿÿÿÿÿÿÿÿÿÿ€pÿÿÿÿÿÀÀÿÿÿÿÿP ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿPÿÿÿÿÿÿ°@ÿÿÿÿÿÿ€`ÿÿÿÿÿÿP@ÿÿÿÿÿ€àÿÿÿÿÿ@ÿÿÿÿÿ€ÿÿÿÿÿ ÿÿÿÿÿ€€ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ`@ÿÿÿÿÿÿàÿÿÿÿÿð ðÿÿÿÿÿ°@ÿÿÿÿÿ€@ÿÿÿÿÿàÿÿÿÿÿ€Àÿÿÿÿÿp€ÿÿÿÿÿ `ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ ðÿÿÿÿÿÿ@àÿÿÿÿÿ°°ÿÿÿÿÿð@ÿÿÿÿÿ€ÿÿÿÿÿ°ÿÿÿÿÿ€àÿÿÿÿÿ@@ÿÿÿÿÿÀ°ÿÿÿÿÿÿ€PÿÿÿÿÿÿPPÿÿÿÿÿÿP@ÿÿÿÿÿ€àÿÿÿÿÿPÿÿÿÿÿ€ÿÿÿÿÿÿ0@ÿÿÿÿÿÀpÿÿÿÿÿÿаÿÿÿÿÿàðÿÿÿÿÿ°@ÿÿÿÿÿ€0ÿÿÿÿÿð ÿÿÿÿÿ€ÿÿÿÿÿÿ ÿÿÿÿÿà0ÿÿÿÿÿÿð ðÿÿÿÿÿÿÿÿÿÿð@ÿÿÿÿÿ€€ÿÿÿÿÿÀÿÿÿÿÿ€ÿÿÿÿÿÿÿÿÿÿÿÿÐÿÿÿÿÿÿ`pÿÿÿÿÿÿpÿÿÿÿÿÿP@ÿÿÿÿÿ€Ðÿÿÿÿÿpÿÿÿÿÿ€@ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ°Àÿÿÿÿÿÿÿÿÿÿÿ°@ÿÿÿÿÿ€0ÿÿÿÿÿð0ÿÿÿÿÿ€@ÿÿÿÿÿÿÿÿÿÿÿÿPÿÿÿÿÿÿà ÿÿÿÿÿÿÿÿÿÿð@ÿÿÿÿÿ€€ÿÿÿÿÿÐÿÿÿÿÿ€@ÿÿÿÿÿÿÿÿÿÿÿÿ ðÿÿÿÿÿÿ@€ÿÿÿÿÿÿÿÿÿP@ÿÿÿÿÿ€Ðÿÿÿÿÿ€ÿÿÿÿÿ€@ÿÿÿÿÿÿÿÿÿÿÿÐÀÿÿÿÿÿÿ€Ðÿÿÿÿÿÿÿ°@ÿÿÿÿÿ€0ÿÿÿÿÿÿ0ÿÿÿÿÿ€ÿÿÿÿÿÿÿÿÿÿÿÀ€ÿÿÿÿÿÿÀ@ÿÿÿÿÿÿð@ÿÿÿÿÿ€pÿÿÿÿÿàÿÿÿÿÿ€ÿÿÿÿÿÿ@ÿÿÿÿÿÀ0ÿÿÿÿÿÿð  ÿÿÿÿÿ`@ÿÿÿÿÿ€Àÿÿÿÿÿÿÿÿÿÿ€ÿÿÿÿÿÿ@@ÿÿÿÿÿ àÿÿÿÿÿÿP€ÿÿÿÿÿ@@ÿÿÿÿÿ€ ðÿÿÿÿÿ@àÿÿÿÿ€ÀÿÿÿÿÿP`ÿÿÿÿÿ€°ÿÿÿÿÿÿ €ÿÿÿÿÿ@@ÿÿÿÿÿ€pÿÿÿÿÿðÀÿÿÿÿ€Àÿÿÿÿÿ€€ÿÿÿÿÿP`ÿÿÿÿÿÿà€ÿÿÿÿÿ@@ÿÿÿÿÿ€Àÿÿÿÿÿ°Àÿÿÿÿ€€ÿÿÿÿÿ°Àÿÿÿÿÿ0 ðÿÿÿÿÿÿ0€ÿÿÿÿÿ@@ÿÿÿÿÿ€ ðÿÿÿÿÿPÀÿÿÿÿ€PÿÿÿÿÿààÿÿÿÿÿÐÿÿÿÿÿÿ€€ÿÿÿÿÿ@@ÿÿÿÿÿ€pÿÿÿÿÿð Àÿÿÿÿ€ ÿÿÿÿÿÿ ÿÿÿÿÿÀÿÿÿÿÿÿÀ€ÿÿÿÿÿ@@ÿÿÿÿÿ€°ÿÿÿÿÿÀÀÿÿÿÿ€àÿÿÿÿÿ``ÿÿÿÿÿ€@ÿÿÿÿÿÿð €ÿÿÿÿÿ@@ÿÿÿÿÿ€ðÿÿÿÿÿpÀÿÿÿÿ€ ÿÿÿÿÿ°°ÿÿÿÿÿ0ðÿÿÿÿÿÿP€ÿÿÿÿÿ@@ÿÿÿÿÿ€Pÿÿÿÿÿÿ0Àÿÿÿÿ€PÿÿÿÿÿÿP€€€€€pÿÿÿÿÿà°ÿÿÿÿÿÿ €ÿÿÿÿÿ@@ÿÿÿÿÿ€°ÿÿÿÿÿÐÀÿÿÿÿ€ðÿÿÿÿÿp ðÿÿÿÿÿ pÿÿÿÿÿpÿÿÿÿÿÿà€ÿÿÿÿÿ@@ÿÿÿÿÿ€ðÿÿÿÿÿ€Àÿÿÿÿ€ ÿÿÿÿÿà0ðÿÿÿÿÿ€àÿÿÿÿÿ00ÿÿÿÿÿÿÿ0€ÿÿÿÿÿ@@ÿÿÿÿÿ€Pÿÿÿÿÿÿ@Àÿÿÿÿ€0ÿÿÿÿÿÿ`Pÿÿÿÿÿÿ``ÿÿÿÿÿÀÐÿÿÿÿÿÿp€ÿÿÿÿÿ@@ÿÿÿÿÿ€°ÿÿÿÿÿàÀÿÿÿÿ€Àÿÿÿÿÿà`ÿÿÿÿÿÿôÿÿÿÿÿ`ÿÿÿÿÿÿÀ€ÿÿÿÿÿ@@ÿÿÿÿÿ€àÿÿÿÿÿÀÿÿÿÿ€@ÿÿÿÿÿÿ€ÿÿÿÿÿÿÿÿÿÿàPÿÿÿÿÿÿð€ÿÿÿÿÿ@@ÿÿÿÿÿ€@ÿÿÿÿÿÿPÀÿÿÿÿ€Àÿÿÿÿÿÿ0 ÿÿÿÿÿÿÿÿÿ` ðÿÿÿÿÿÿP€ÿÿÿÿÿ@@ÿÿÿÿÿ€ÿÿÿÿÿðÐÿÿÿÿ€0ÿÿÿÿÿÿàÐÿÿÿÿÿÿÿÀÀÿÿÿÿÿÿ€ÿÿÿÿÿ@@ÿÿÿÿÿ€àÿÿÿÿÿÿÿÿÿÿ€€ÿÿÿÿÿÿÐ=üÿÿÿÿÿû€ÿÿÿÿÿÿЀÿÿÿÿÿ@@ÿÿÿÿÿ€@ÿÿÿÿÿÿÿÿÿÿ€ÐÿÿÿÿÿÿÐ 0ðÿÿÿÿÿÿÿ 0ÿÿÿÿÿÿÿ0€ÿÿÿÿÿ@@ÿÿÿÿÿ€ÿÿÿÿÿÿÿÿÿ€ðÿÿÿÿÿÿðP€ÿÿÿÿÿÿÿÿÿÿ€0àÿÿÿÿÿÿ @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ €ÿÿÿÿÿ@@ÿÿÿÿÿ€Ðÿÿÿÿÿÿÿÿ€0ðÿÿÿÿÿÿÿÀ@`àÿÿÿÿÿÿÿÿÿÿÿÿ`€ðàÿÀ0°ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ€€ÿÿÿÿÿ@@ÿÿÿÿÿ€0ÿÿÿÿÿÿÿÿ€0ðÿÿÿÿÿÿÿÿÐp 0àÿÿÿÿÿÿÿÿâÿÿÿÿÿÿ@ðp@0 ð ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ€€ÿÿÿÿÿ@@ÿÿÿÿÿ€€ÿÿÿÿÿÿÿ€ ÐÿÿÿÿÿÿÿÿÿÿðÀ €€€°Àÿÿÿÿÿÿÿÿÿÿÿ €ÿÿÿÿÿð0ÿPÀ°ÿ`Ðÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ€€ÿÿÿÿÿ@@ÿÿÿÿÿ€Ðÿÿÿÿÿÿ€ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿð` ÿÿÿÿÿð @ÿÀÀÿ0€Àÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ€€ÿÿÿÿÿ@@ÿÿÿÿÿ€0ÿÿÿÿÿÿ€0Àÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ ÀÿÿÿÿÿÐ ÿ ÀðP  ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿ€€ÿÿÿÿÿ@@ÿÿÿÿÿ€€ÿÿÿÿÿ€@°ÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿÿð  ÐÿÿÿÿÿÐÀ°0p°ÿ@`°àÿÿÿÿÿÿÿÿÿÿÿà Pàÿÿÿÿÿ 0àà€ð@P€€€€€@@0€€€€€€ `€€0@@0 @@`À`ÀÀ0PÀÿÿÿÿÿÐ`  ðÿÿÿÿð @À@ÀÀÀÀÀÀÀÀÀÀÀÀÀÀ€ÿÀ€ÿÀÀÿ@@ÿÀ ÿÿÿàÀàÿÿÿЀÿÿÿÿÀÀðÿÿÿ ÿÿ ÿÿÀÿÿÿÿÿÿÿÿÿÿÿÿÀÀÿ@€ÿÀ€ÿÀÀÿ@@ÿÀ€ÿÿ° ÿÿЀÿÿÀ@0°ÿÿ€€ÿÿÿÿÿÀÿ @@@@@@@@@@0Àÿ@€ÿÀ€ÿÀÀÿ@@ÿÀðÿ`ÿÿ`@ÿÿ  ÿÿ0àÿàÿpÿÿÀÿ€Àÿ@€ÿÀ€ÿÀÀÿ@@ÿÀ0ÿÿÀÿ€ÀÿÐàÿÀ`ÿÀ`ÿàÿÿÀÿ€Àÿ@€ÿÀ€ÿÀÀÿ@@ÿÀ0ÿÿ0 ÿÿPpà`Àÿ`ÿÿ@ÿÿÀÿ€Àÿ@€ÿÀ€ÿÀÀÿ@@@pÿÐ@@ @ `€@0€€€p0ÿÿppÿà ÿð ÿ ÿÿÀÿ€€ÿÿÿÿÿÿÿÿÿÿÀ€ÿÀ€ÿÀÀÿ@ÿÿÿÿÿÿÿ€@ÿ€ ÿÿÿ€Àÿÿÿÿÿÿ° ÿÿp ÿ  ÿ @ÿðÿÿÀÿ€€ÿÿÿÿÿÿÿÿÿÿÀ€ÿÀ€ÿÀÀÿ@€€ ÿà€€@@ÿðÿ€@@ ÐÿÀP@@`ðÿ€ÐÿÿàPÀÿ€ðÿ0àÿ`ÿÿÀÿ€Àÿ@€ÿÀ€ÿÀÀÿ@@ÿÀ@ÿÿp`ÿà@ÿÐÿÿÿÿÿ°p Àÿp`ÿЀÿÐÿÿÀÿÿÿÿÿÿÿÿÿÿÿ€Àÿ@€ÿÀ€ÿÀÀÿ@@ÿÀ@ÿà°ÿ€ÿÿP ðÿÿÿÿÀÀÿ@Ðÿ` ÿÿ0ÿÿÀÿÿÿÿÿÿÿÿÿÿÿ€Àÿ@€ÿÀ€ÿÀÀÿ@@ÿÀ@ÿ°@ 0ÿÿ0àÿÿðÀÿp@ÿðÀÿ ÿÿÀÿ€Àÿ@€ÿÀ€ÿÀÀÿ@@ÿÀ@ÿ€0p°ðÿÿÿpÿÿ Àÿ€ ÿÀ@@@@@@ÿðÿÿÀÿ€À0€ÿÀ€ÿÀÀÿ@@ÿÀ@ÿ€€àÿÿÿàÿÿ€ÿðÿ°ÿÿÿÿÿÿÿÿÿÿÿÿ`ÿÿÀÿ€€ÿÀ€ÿÀÀÿ@@ÿÀ@ÿ€0ðÿð°` ÿÿ  @ÿÿ`ÿàpÿà€€€€€€€€ÀÿÀÿÿÀÿ€Pÿð°ÿÀÿ@@ÿÀ@ÿ€Àÿ  ÿÿ0ÿÿ@ÿÿ ÿÿPpð€àÿ`0ÿÿ ÿÿÀÿ€ ÿÿ`ÿÿ`Àÿ@@ÿÀ@ÿ€ÿÿ`ÿÿðÿ`€ÿà ÿàðÿÀPÿðÐÿÿÿÀÿ€Àÿð0°ÿðÀÿ@@ÿÀ@ÿ€ÿÿ 0ðÿÿ ÿð @ðÿ€ ÿÿÐÐÿÿ0°ÿ pÿðÿÿÀÿ€ ðÿð€0`ÐÿÿPÀÿ@@ÿà@`P@ÿ€àÿÐ@ €ðÿÿÿ€ðÿð€0P ÿÿà`ÿÿðp0 `àÿÿ` ÿÿ0ÿÿ`ÿÿ€€€€€€€€€€€ ÀÿÀ€€€€€€€€€€€ 0àÿÿÿÿÿÿÿÿð`Àÿ@ ÿÿÿÿ€@ÿ€Pÿÿÿÿÿÿÿ`°ÿÿÀ0ÐÿÿÿÿÿÿÿÿÿÐ Pðÿÿÿÿÿÿÿÿð`€ÿаÿÀÿÿÿÿÿÿÿÿÿÿÿÿÿ@Àÿÿÿÿÿÿÿÿÿÿÿÿÿ@`°ðÿÿÿÀ€ `€ `ÀÀ0 €@  ÀÀÀ € `°àÿÿÿà°`pÀÿÿÿðÀp`€@0€€€€€€€€€€€€€€€ `€€€€€€€€€€€€€ endstream +endobj +24 0 obj +<< + /Type /XObject + /Subtype /Image + /ColorSpace /DeviceRGB + /BitsPerComponent 8 + /Width 269 + /Height 114 + /SMask 102 0 R + /Length 91998 +>> +stream +=J> +endobj +xref +0 103 +0000000000 65535 f +0000000009 00000 n +0000000223 00000 n +0000054959 00000 n +0000000373 00000 n +0000000500 00000 n +0000055078 00000 n +0000239353 00000 n +0000066506 00000 n +0000066527 00000 n +0000000710 00000 n +0000000851 00000 n +0000067150 00000 n +0000068435 00000 n +0000069492 00000 n +0000070549 00000 n +0000071606 00000 n +0000075364 00000 n +0000086279 00000 n +0000092605 00000 n +0000098284 00000 n +0000113204 00000 n +0000114262 00000 n +0000115397 00000 n +0000147174 00000 n +0000066348 00000 n +0000058682 00000 n +0000055344 00000 n +0000055510 00000 n +0000055684 00000 n +0000055869 00000 n +0000056054 00000 n +0000056236 00000 n +0000056427 00000 n +0000056616 00000 n +0000056797 00000 n +0000056982 00000 n +0000057171 00000 n +0000057352 00000 n +0000057555 00000 n +0000057740 00000 n +0000057929 00000 n +0000058118 00000 n +0000058307 00000 n +0000058500 00000 n +0000059297 00000 n +0000058843 00000 n +0000058991 00000 n +0000059151 00000 n +0000059604 00000 n +0000059470 00000 n +0000066016 00000 n +0000059781 00000 n +0000059918 00000 n +0000060072 00000 n +0000060227 00000 n +0000060387 00000 n +0000060559 00000 n +0000060730 00000 n +0000060901 00000 n +0000061070 00000 n +0000061243 00000 n +0000061426 00000 n +0000061599 00000 n +0000061782 00000 n +0000061949 00000 n +0000062123 00000 n +0000062297 00000 n +0000062462 00000 n +0000062627 00000 n +0000062789 00000 n +0000062946 00000 n +0000063131 00000 n +0000063294 00000 n +0000063468 00000 n +0000063633 00000 n +0000063801 00000 n +0000063969 00000 n +0000064137 00000 n +0000064312 00000 n +0000064483 00000 n +0000064661 00000 n +0000064842 00000 n +0000065023 00000 n +0000065186 00000 n +0000065349 00000 n +0000065508 00000 n +0000065682 00000 n +0000065854 00000 n +0000066189 00000 n +0000066733 00000 n +0000068094 00000 n +0000069151 00000 n +0000070208 00000 n +0000071265 00000 n +0000072322 00000 n +0000084181 00000 n +0000092264 00000 n +0000093321 00000 n +0000112863 00000 n +0000113920 00000 n +0000114979 00000 n +0000116342 00000 n +trailer +<< + /Size 103 + /Info 1 0 R + /Root 2 0 R +>> +startxref +239435 +%%EOF diff --git a/src/constraints/constraints.xdc b/src/constraints/constraints.xdc new file mode 100644 index 0000000..b79ef84 --- /dev/null +++ b/src/constraints/constraints.xdc @@ -0,0 +1,45 @@ +# Copyright 2020 Xilinx Inc. +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + +## enable tx by forcing 0 from design. sfp0,1,2,3 => a12, a13, b13, c13 +set_property PACKAGE_PIN AE22 [get_ports {sfp_tx_dis[0]}] +set_property IOSTANDARD LVCMOS12 [get_ports {sfp_tx_dis[0]}] + +#sfp2 +set_property PACKAGE_PIN AA2 [get_ports gt_rx_gt_port_0_p] +set_property PACKAGE_PIN AA1 [get_ports gt_rx_gt_port_0_n] +set_property PACKAGE_PIN Y4 [get_ports gt_tx_gt_port_0_p] +set_property PACKAGE_PIN Y3 [get_ports gt_tx_gt_port_0_n] + +#USER_MGT_SI570_CLOCK2_C_P +set_property PACKAGE_PIN U10 [get_ports gt_ref_clk_clk_p] +create_clock -period 6.400 -name gt_ref_clk [get_ports gt_ref_clk_clk_p] + +#LED 2 and 3 +# led 0 .. 7 => ag14, af13, ae13, aj14, aj15, ah13, ah14, al12 +#set_property IOSTANDARD LVCMOS25 [get_ports *led] +#set_property PACKAGE_PIN AF13 [get_ports axil_reset_led] +#set_property PACKAGE_PIN AJ14 [get_ports {axi_lite_clk_led[0]}] +#set_property PACKAGE_PIN AH13 [get_ports {mgt_clk_led[0]}] +#set_property PACKAGE_PIN AH14 [get_ports {rx_clk_led[0]}] +#set_property PACKAGE_PIN AG14 [get_ports {sys_reset_led}] +#set_property PACKAGE_PIN AL12 [get_ports {gtwiz_rst_led}] + +#CR 965826 +#set_max_delay -from [get_clocks -of_objects [get_pins -hierarchical -filter {NAME =~ */channel_inst/*_CHANNEL_PRIM_INST/RXOUTCLK}]] -to [get_clocks -of_objects [get_pins -hierarchical -filter {NAME =~ */channel_inst/*_CHANNEL_PRIM_INST/TXOUTCLK}]] -datapath_only 6.40 +#set_max_delay -from [get_clocks -of_objects [get_pins -hierarchical -filter {NAME =~ */channel_inst/*_CHANNEL_PRIM_INST/TXOUTCLK}]] -to [get_clocks -of_objects [get_pins -hierarchical -filter {NAME =~ */channel_inst/*_CHANNEL_PRIM_INST/RXOUTCLK}]] -datapath_only 6.40 +#set_max_delay -from [get_clocks -of_objects [get_pins -hierarchical -filter {NAME =~ */channel_inst/*_CHANNEL_PRIM_INST/RXOUTCLK}]] -to [get_clocks clk_pl_0] -datapath_only 6.40 +#set_max_delay -from [get_clocks -of_objects [get_pins -hierarchical -filter {NAME =~ */channel_inst/*_CHANNEL_PRIM_INST/TXOUTCLK}]] -to [get_clocks clk_pl_0] -datapath_only 6.40 +#set_max_delay -from [get_clocks clk_pl_0] -to [get_clocks -of_objects [get_pins -hierarchical -filter {NAME =~ */channel_inst/*_CHANNEL_PRIM_INST/TXOUTCLK}]] -datapath_only 10.000 +#set_max_delay -from [get_clocks clk_pl_0] -to [get_clocks -of_objects [get_pins -hierarchical -filter {NAME =~ */channel_inst/*_CHANNEL_PRIM_INST/RXOUTCLK}]] -datapath_only 10.000 \ No newline at end of file diff --git a/src/pl_eth_10g_hw/pl_eth_10g.cache/wt/gui_handlers.wdf b/src/pl_eth_10g_hw/pl_eth_10g.cache/wt/gui_handlers.wdf new file mode 100644 index 0000000..a1647b9 --- /dev/null +++ b/src/pl_eth_10g_hw/pl_eth_10g.cache/wt/gui_handlers.wdf @@ -0,0 +1,46 @@ +version:1 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f6f6b:3130:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636d646d73676469616c6f675f6f6b:3135:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636f6d6d616e6473696e7075745f747970655f74636c5f636f6d6d616e645f68657265:35:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:65787072756e7472656570616e656c5f6578705f72756e5f747265655f7461626c65:33:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6578706f7274706c6174666f726d77697a6172645f66697865645f706f73745f696d706c:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6578706f7274706c6174666f726d77697a6172645f6f75747075745f7873615f6e616d65:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:66696c6573657470616e656c5f66696c655f7365745f70616e656c5f74726565:3232:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:666c6f776e6176696761746f727472656570616e656c5f666c6f775f6e6176696761746f725f74726565:3430:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:68617264776172657472656570616e656c5f68617264776172655f747265655f7461626c65:38:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f636865636b706f696e74:33:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f636f6e73747261696e7473:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f6578706f7274:34:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f66696c65:38:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f696d706f7274:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f6970:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f70726f6a656374:34:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f746578745f656469746f72:35:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e746f6f6c6261726d67725f72756e:33:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6175746f5f636f6e6e6563745f746172676574:37:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6578706f72745f6861726477617265:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6f70656e5f70726f6a656374:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6f70656e5f7461726765745f77697a617264:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f726566726573685f736572766572:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f726566726573685f746172676574:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f72756e5f62697467656e:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f72756e5f73796e746865736973:33:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706176696577735f64617368626f617264:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706176696577735f6d6967:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706176696577735f70726f6a6563745f73756d6d617279:34:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70737370616e656c636c6f636b696e67706167655f706c6c5f6f7074696f6e73:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70737370616e656c636c6f636b696e67706167655f7461626265645f70616e65:36:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70737370616e656c646472706167655f6f746865725f6f7074696f6e73:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70737370616e656c6d61696e706167655f7377697463685f746f5f616476616e6365645f6d6f6465:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:707373747265657461626c6570616e656c6275696c6465725f6164765f636c6b5f74726565:3437:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:707373747265657461626c6570616e656c6275696c6465725f636c6b5f74726565:37:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:707373747265657461626c6570616e656c6275696c6465725f67656e6572616c5f74726565:3132:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:707373747265657461626c6570616e656c6275696c6465725f6d696f5f74726565:38:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6772616d667067616469616c6f675f70726f6772616d:37:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:72756e6761646765745f73686f775f6572726f725f616e645f637269746963616c5f7761726e696e675f6d65737361676573:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73657474696e67736469616c6f675f70726f6a6563745f74726565:36:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73796e7468657469636167657474696e6773746172746564766965775f726563656e745f70726f6a65637473:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73796e7468657469636173746174656d6f6e69746f725f63616e63656c:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:74636c636f6e736f6c65766965775f636f7079:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:74636c636f6e736f6c65766965775f74636c5f636f6e736f6c655f636f64655f656469746f72:35:00:00 +eof:1898407456 diff --git a/src/pl_eth_10g_hw/pl_eth_10g.cache/wt/java_command_handlers.wdf b/src/pl_eth_10g_hw/pl_eth_10g.cache/wt/java_command_handlers.wdf new file mode 100644 index 0000000..4178f4e --- /dev/null +++ b/src/pl_eth_10g_hw/pl_eth_10g.cache/wt/java_command_handlers.wdf @@ -0,0 +1,22 @@ +version:1 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6175746f636f6e6e656374746172676574:37:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:637573746f6d697a65727362626c6f636b:36:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:65786974617070:34:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6c61756e63686f70656e746172676574:32:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6c61756e636870726f6772616d66706761:37:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6e65776578706f72746861726477617265:32:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6f70656e68617264776172656d616e61676572:3139:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6f70656e70726f6a656374:31:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6f70656e726563656e74746172676574:3134:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:70726f6772616d646576696365:39:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72656672657368736572766572:32:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72656672657368746172676574:31:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e62697467656e:32:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e696d706c656d656e746174696f6e:32:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e73796e746865736973:33:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:7361766572736264657369676e:31:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73686f7776696577:31:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:746f6f6c7373657474696e6773:31:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:766965777461736b696d706c656d656e746174696f6e:32:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:766965777461736b72746c616e616c79736973:31:00:00 +eof:503755933 diff --git a/src/pl_eth_10g_hw/pl_eth_10g.cache/wt/project.wpc b/src/pl_eth_10g_hw/pl_eth_10g.cache/wt/project.wpc new file mode 100644 index 0000000..30d3330 --- /dev/null +++ b/src/pl_eth_10g_hw/pl_eth_10g.cache/wt/project.wpc @@ -0,0 +1,3 @@ +version:1 +6d6f64655f636f756e7465727c4755494d6f6465:4 +eof: diff --git a/src/pl_eth_10g_hw/pl_eth_10g.cache/wt/synthesis.wdf b/src/pl_eth_10g_hw/pl_eth_10g.cache/wt/synthesis.wdf new file mode 100644 index 0000000..2c80ca9 --- /dev/null +++ b/src/pl_eth_10g_hw/pl_eth_10g.cache/wt/synthesis.wdf @@ -0,0 +1,39 @@ +version:1 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d70617274:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e616d65:72746c5f31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d746f70:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d696e636c7564655f64697273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67656e65726963:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d766572696c6f675f646566696e65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e737472736574:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7365755f70726f74656374:64656661756c743a3a6e6f6e65:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d666c617474656e5f686965726172636879:64656661756c743a3a72656275696c74:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67617465645f636c6f636b5f636f6e76657273696f6e:64656661756c743a3a6f6666:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d646972656374697665:64656661756c743a3a64656661756c74:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c:5b7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6c696e74:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f6970:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f636f6e73747261696e7473:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f6c63:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6f73:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d62756667:64656661756c743a3a3132:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66616e6f75745f6c696d6974:64656661756c743a3a3130303030:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73687265675f6d696e5f73697a65:64656661756c743a3a33:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d6f6465:64656661756c743a3a64656661756c74:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66736d5f65787472616374696f6e:64656661756c743a3a6175746f:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6b6565705f6571756976616c656e745f726567697374657273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7265736f757263655f73686172696e67:64656661756c743a3a6175746f:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636173636164655f647370:64656661756c743a3a6175746f:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e74726f6c5f7365745f6f70745f7468726573686f6c64:64656661756c743a3a6175746f:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f647370:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d726574696d696e67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f73726c65787472616374:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d617373657274:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f74696d696e675f64726976656e:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73666375:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d64656275675f6c6f67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +eof:3126106825 diff --git a/src/pl_eth_10g_hw/pl_eth_10g.cache/wt/synthesis_details.wdf b/src/pl_eth_10g_hw/pl_eth_10g.cache/wt/synthesis_details.wdf new file mode 100644 index 0000000..78f8d66 --- /dev/null +++ b/src/pl_eth_10g_hw/pl_eth_10g.cache/wt/synthesis_details.wdf @@ -0,0 +1,3 @@ +version:1 +73796e746865736973:73796e7468657369735c7573616765:686c735f6970:30:00:00 +eof:2511430288 diff --git a/src/pl_eth_10g_hw/pl_eth_10g.cache/wt/webtalk_pa.xml b/src/pl_eth_10g_hw/pl_eth_10g.cache/wt/webtalk_pa.xml new file mode 100644 index 0000000..5a72f6c --- /dev/null +++ b/src/pl_eth_10g_hw/pl_eth_10g.cache/wt/webtalk_pa.xml @@ -0,0 +1,94 @@ + + + + +
+ + +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+
diff --git a/src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/hdl/pl_eth_10g_wrapper.v b/src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/hdl/pl_eth_10g_wrapper.v new file mode 100644 index 0000000..2cc84ab --- /dev/null +++ b/src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/hdl/pl_eth_10g_wrapper.v @@ -0,0 +1,44 @@ +//Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. +//-------------------------------------------------------------------------------- +//Tool Version: Vivado v.2020.2 (win64) Build 3064766 Wed Nov 18 09:12:45 MST 2020 +//Date : Fri Oct 20 12:44:41 2023 +//Host : DESKTOP-5FH9OH3 running 64-bit major release (build 9200) +//Command : generate_target pl_eth_10g_wrapper.bd +//Design : pl_eth_10g_wrapper +//Purpose : IP block netlist +//-------------------------------------------------------------------------------- +`timescale 1 ps / 1 ps + +module pl_eth_10g_wrapper + (gt_ref_clk_clk_n, + gt_ref_clk_clk_p, + gt_rx_gt_port_0_n, + gt_rx_gt_port_0_p, + gt_tx_gt_port_0_n, + gt_tx_gt_port_0_p, + sfp_tx_dis); + input gt_ref_clk_clk_n; + input gt_ref_clk_clk_p; + input gt_rx_gt_port_0_n; + input gt_rx_gt_port_0_p; + output gt_tx_gt_port_0_n; + output gt_tx_gt_port_0_p; + output [0:0]sfp_tx_dis; + + wire gt_ref_clk_clk_n; + wire gt_ref_clk_clk_p; + wire gt_rx_gt_port_0_n; + wire gt_rx_gt_port_0_p; + wire gt_tx_gt_port_0_n; + wire gt_tx_gt_port_0_p; + wire [0:0]sfp_tx_dis; + + pl_eth_10g pl_eth_10g_i + (.gt_ref_clk_clk_n(gt_ref_clk_clk_n), + .gt_ref_clk_clk_p(gt_ref_clk_clk_p), + .gt_rx_gt_port_0_n(gt_rx_gt_port_0_n), + .gt_rx_gt_port_0_p(gt_rx_gt_port_0_p), + .gt_tx_gt_port_0_n(gt_tx_gt_port_0_n), + .gt_tx_gt_port_0_p(gt_tx_gt_port_0_p), + .sfp_tx_dis(sfp_tx_dis)); +endmodule diff --git a/src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/hw_handoff/pl_eth_10g.hwh b/src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/hw_handoff/pl_eth_10g.hwh new file mode 100644 index 0000000..1304fff --- /dev/null +++ b/src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/hw_handoff/pl_eth_10g.hwh @@ -0,0 +1,14004 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/hw_handoff/pl_eth_10g_bd.tcl b/src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/hw_handoff/pl_eth_10g_bd.tcl new file mode 100644 index 0000000..e5350bd --- /dev/null +++ b/src/pl_eth_10g_hw/pl_eth_10g.gen/sources_1/bd/pl_eth_10g/hw_handoff/pl_eth_10g_bd.tcl @@ -0,0 +1,2060 @@ + +################################################################ +# This is a generated script based on design: pl_eth_10g +# +# Though there are limitations about the generated script, +# the main purpose of this utility is to make learning +# IP Integrator Tcl commands easier. +################################################################ + +namespace eval _tcl { +proc get_script_folder {} { + set script_path [file normalize [info script]] + set script_folder [file dirname $script_path] + return $script_folder +} +} +variable script_folder +set script_folder [_tcl::get_script_folder] + +################################################################ +# Check if script is running in correct Vivado version. +################################################################ +set scripts_vivado_version 2020.2 +set current_vivado_version [version -short] + +if { [string first $scripts_vivado_version $current_vivado_version] == -1 } { + puts "" + catch {common::send_gid_msg -ssname BD::TCL -id 2041 -severity "ERROR" "This script was generated using Vivado <$scripts_vivado_version> and is being run in <$current_vivado_version> of Vivado. Please run the script in Vivado <$scripts_vivado_version> then open the design in Vivado <$current_vivado_version>. Upgrade the design by running \"Tools => Report => Report IP Status...\", then run write_bd_tcl to create an updated script."} + + return 1 +} + +################################################################ +# START +################################################################ + +# To test this script, run the following commands from Vivado Tcl console: +# source pl_eth_10g_script.tcl + +# If there is no project opened, this script will create a +# project, but make sure you do not have an existing project +# <./myproj/project_1.xpr> in the current working folder. + +set list_projs [get_projects -quiet] +if { $list_projs eq "" } { + create_project project_1 myproj -part xczu7ev-ffvc1156-2-e +} + + +# CHANGE DESIGN NAME HERE +variable design_name +set design_name pl_eth_10g + +# If you do not already have an existing IP Integrator design open, +# you can create a design using the following command: +# create_bd_design $design_name + +# Creating design if needed +set errMsg "" +set nRet 0 + +set cur_design [current_bd_design -quiet] +set list_cells [get_bd_cells -quiet] + +if { ${design_name} eq "" } { + # USE CASES: + # 1) Design_name not set + + set errMsg "Please set the variable to a non-empty value." + set nRet 1 + +} elseif { ${cur_design} ne "" && ${list_cells} eq "" } { + # USE CASES: + # 2): Current design opened AND is empty AND names same. + # 3): Current design opened AND is empty AND names diff; design_name NOT in project. + # 4): Current design opened AND is empty AND names diff; design_name exists in project. + + if { $cur_design ne $design_name } { + common::send_gid_msg -ssname BD::TCL -id 2001 -severity "INFO" "Changing value of from <$design_name> to <$cur_design> since current design is empty." + set design_name [get_property NAME $cur_design] + } + common::send_gid_msg -ssname BD::TCL -id 2002 -severity "INFO" "Constructing design in IPI design <$cur_design>..." + +} elseif { ${cur_design} ne "" && $list_cells ne "" && $cur_design eq $design_name } { + # USE CASES: + # 5) Current design opened AND has components AND same names. + + set errMsg "Design <$design_name> already exists in your project, please set the variable to another value." + set nRet 1 +} elseif { [get_files -quiet ${design_name}.bd] ne "" } { + # USE CASES: + # 6) Current opened design, has components, but diff names, design_name exists in project. + # 7) No opened design, design_name exists in project. + + set errMsg "Design <$design_name> already exists in your project, please set the variable to another value." + set nRet 2 + +} else { + # USE CASES: + # 8) No opened design, design_name not in project. + # 9) Current opened design, has components, but diff names, design_name not in project. + + common::send_gid_msg -ssname BD::TCL -id 2003 -severity "INFO" "Currently there is no design <$design_name> in project, so creating one..." + + create_bd_design $design_name + + common::send_gid_msg -ssname BD::TCL -id 2004 -severity "INFO" "Making design <$design_name> as current_bd_design." + current_bd_design $design_name + +} + + # Add USER_COMMENTS on $design_name + set_property USER_COMMENTS.comment_0 "PL ETHERNET 10G" [get_bd_designs $design_name] + set_property USER_COMMENTS.comment_1 "PL ETHERNET 10G" [get_bd_designs $design_name] + set_property USER_COMMENTS.comment_2 "PL ETHERNET 10G" [get_bd_designs $design_name] + set_property USER_COMMENTS.comment_3 "PL ETHERNET 10G" [get_bd_designs $design_name] + set_property USER_COMMENTS.comment_4 "PL ETHERNET 10G" [get_bd_designs $design_name] + set_property USER_COMMENTS.comment_5 "PL ETHERNET 10G" [get_bd_designs $design_name] + set_property USER_COMMENTS.comment_6 "PL ETHERNET 10G" [get_bd_designs $design_name] + +common::send_gid_msg -ssname BD::TCL -id 2005 -severity "INFO" "Currently the variable is equal to \"$design_name\"." + +if { $nRet != 0 } { + catch {common::send_gid_msg -ssname BD::TCL -id 2006 -severity "ERROR" $errMsg} + return $nRet +} + +################################################################## +# DESIGN PROCs +################################################################## + + +# Hierarchical cell: zups +proc create_hier_cell_zups { parentCell nameHier } { + + variable script_folder + + if { $parentCell eq "" || $nameHier eq "" } { + catch {common::send_gid_msg -ssname BD::TCL -id 2092 -severity "ERROR" "create_hier_cell_zups() - Empty argument(s)!"} + return + } + + # Get object for parentCell + set parentObj [get_bd_cells $parentCell] + if { $parentObj == "" } { + catch {common::send_gid_msg -ssname BD::TCL -id 2090 -severity "ERROR" "Unable to find parent cell <$parentCell>!"} + return + } + + # Make sure parentObj is hier blk + set parentType [get_property TYPE $parentObj] + if { $parentType ne "hier" } { + catch {common::send_gid_msg -ssname BD::TCL -id 2091 -severity "ERROR" "Parent <$parentObj> has TYPE = <$parentType>. Expected to be ."} + return + } + + # Save current instance; Restore later + set oldCurInst [current_bd_instance .] + + # Set parent object as current + current_bd_instance $parentObj + + # Create cell and set as current instance + set hier_obj [create_bd_cell -type hier $nameHier] + current_bd_instance $hier_obj + + # Create interface pins + create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 M00_AXI + + create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 M01_AXI + + create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 S00_AXI + + create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 S01_AXI + + create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 S02_AXI + + + # Create pins + create_bd_pin -dir I -from 0 -to 0 In0 + create_bd_pin -dir I -from 0 -to 0 In1 + create_bd_pin -dir O -from 0 -to 0 Res + create_bd_pin -dir I -type clk S01_ACLK + create_bd_pin -dir I -type rst S01_ARESETN + create_bd_pin -dir I -type clk S02_ACLK + create_bd_pin -dir I -type rst S02_ARESETN + create_bd_pin -dir O -from 0 -to 0 -type rst peripheral_aresetn + create_bd_pin -dir O -from 0 -to 0 -type rst peripheral_reset + create_bd_pin -dir O -type clk pl_clk0 + + # Create instance: axi_pl_ps, and set properties + set axi_pl_ps [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_interconnect:2.1 axi_pl_ps ] + set_property -dict [ list \ + CONFIG.NUM_MI {1} \ + CONFIG.NUM_SI {3} \ + CONFIG.SYNCHRONIZATION_STAGES {2} \ + ] $axi_pl_ps + + # Create instance: ps_axi_periph, and set properties + set ps_axi_periph [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_interconnect:2.1 ps_axi_periph ] + set_property -dict [ list \ + CONFIG.NUM_MI {3} \ + CONFIG.SYNCHRONIZATION_STAGES {2} \ + ] $ps_axi_periph + + # Create instance: rst_ps8_0_99M, and set properties + set rst_ps8_0_99M [ create_bd_cell -type ip -vlnv xilinx.com:ip:proc_sys_reset:5.0 rst_ps8_0_99M ] + + # Create instance: util_vector_logic_0, and set properties + set util_vector_logic_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:util_vector_logic:2.0 util_vector_logic_0 ] + set_property -dict [ list \ + CONFIG.C_OPERATION {not} \ + CONFIG.C_SIZE {1} \ + CONFIG.LOGO_FILE {data/sym_notgate.png} \ + ] $util_vector_logic_0 + + # Create instance: xlconcat_0, and set properties + set xlconcat_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlconcat:2.1 xlconcat_0 ] + + # Create instance: zynq_ultra_ps_e_0, and set properties + set zynq_ultra_ps_e_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:zynq_ultra_ps_e:3.3 zynq_ultra_ps_e_0 ] + set_property -dict [ list \ + CONFIG.CAN0_BOARD_INTERFACE {custom} \ + CONFIG.CAN1_BOARD_INTERFACE {custom} \ + CONFIG.CSU_BOARD_INTERFACE {custom} \ + CONFIG.DP_BOARD_INTERFACE {custom} \ + CONFIG.GEM0_BOARD_INTERFACE {custom} \ + CONFIG.GEM1_BOARD_INTERFACE {custom} \ + CONFIG.GEM2_BOARD_INTERFACE {custom} \ + CONFIG.GEM3_BOARD_INTERFACE {custom} \ + CONFIG.GPIO_BOARD_INTERFACE {custom} \ + CONFIG.IIC0_BOARD_INTERFACE {custom} \ + CONFIG.IIC1_BOARD_INTERFACE {custom} \ + CONFIG.NAND_BOARD_INTERFACE {custom} \ + CONFIG.PCIE_BOARD_INTERFACE {custom} \ + CONFIG.PJTAG_BOARD_INTERFACE {custom} \ + CONFIG.PMU_BOARD_INTERFACE {custom} \ + CONFIG.PSU_BANK_0_IO_STANDARD {LVCMOS18} \ + CONFIG.PSU_BANK_1_IO_STANDARD {LVCMOS18} \ + CONFIG.PSU_BANK_2_IO_STANDARD {LVCMOS18} \ + CONFIG.PSU_BANK_3_IO_STANDARD {LVCMOS33} \ + CONFIG.PSU_DDR_RAM_HIGHADDR {0xFFFFFFFF} \ + CONFIG.PSU_DDR_RAM_HIGHADDR_OFFSET {0x800000000} \ + CONFIG.PSU_DDR_RAM_LOWADDR_OFFSET {0x80000000} \ + CONFIG.PSU_DYNAMIC_DDR_CONFIG_EN {0} \ + CONFIG.PSU_IMPORT_BOARD_PRESET {} \ + CONFIG.PSU_MIO_0_DIRECTION {out} \ + CONFIG.PSU_MIO_0_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_0_INPUT_TYPE {cmos} \ + CONFIG.PSU_MIO_0_POLARITY {Default} \ + CONFIG.PSU_MIO_0_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_0_SLEW {slow} \ + CONFIG.PSU_MIO_10_DIRECTION {inout} \ + CONFIG.PSU_MIO_10_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_10_INPUT_TYPE {schmitt} \ + CONFIG.PSU_MIO_10_POLARITY {Default} \ + CONFIG.PSU_MIO_10_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_10_SLEW {slow} \ + CONFIG.PSU_MIO_11_DIRECTION {inout} \ + CONFIG.PSU_MIO_11_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_11_INPUT_TYPE {schmitt} \ + CONFIG.PSU_MIO_11_POLARITY {Default} \ + CONFIG.PSU_MIO_11_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_11_SLEW {slow} \ + CONFIG.PSU_MIO_12_DIRECTION {out} \ + CONFIG.PSU_MIO_12_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_12_INPUT_TYPE {cmos} \ + CONFIG.PSU_MIO_12_POLARITY {Default} \ + CONFIG.PSU_MIO_12_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_12_SLEW {slow} \ + CONFIG.PSU_MIO_13_DIRECTION {inout} \ + CONFIG.PSU_MIO_13_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_13_INPUT_TYPE {schmitt} \ + CONFIG.PSU_MIO_13_POLARITY {Default} \ + CONFIG.PSU_MIO_13_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_13_SLEW {slow} \ + CONFIG.PSU_MIO_14_DIRECTION {inout} \ + CONFIG.PSU_MIO_14_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_14_INPUT_TYPE {schmitt} \ + CONFIG.PSU_MIO_14_POLARITY {Default} \ + CONFIG.PSU_MIO_14_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_14_SLEW {slow} \ + CONFIG.PSU_MIO_15_DIRECTION {inout} \ + CONFIG.PSU_MIO_15_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_15_INPUT_TYPE {schmitt} \ + CONFIG.PSU_MIO_15_POLARITY {Default} \ + CONFIG.PSU_MIO_15_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_15_SLEW {slow} \ + CONFIG.PSU_MIO_16_DIRECTION {inout} \ + CONFIG.PSU_MIO_16_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_16_INPUT_TYPE {schmitt} \ + CONFIG.PSU_MIO_16_POLARITY {Default} \ + CONFIG.PSU_MIO_16_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_16_SLEW {slow} \ + CONFIG.PSU_MIO_17_DIRECTION {inout} \ + CONFIG.PSU_MIO_17_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_17_INPUT_TYPE {schmitt} \ + CONFIG.PSU_MIO_17_POLARITY {Default} \ + CONFIG.PSU_MIO_17_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_17_SLEW {slow} \ + CONFIG.PSU_MIO_18_DIRECTION {in} \ + CONFIG.PSU_MIO_18_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_18_INPUT_TYPE {schmitt} \ + CONFIG.PSU_MIO_18_POLARITY {Default} \ + CONFIG.PSU_MIO_18_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_18_SLEW {fast} \ + CONFIG.PSU_MIO_19_DIRECTION {out} \ + CONFIG.PSU_MIO_19_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_19_INPUT_TYPE {cmos} \ + CONFIG.PSU_MIO_19_POLARITY {Default} \ + CONFIG.PSU_MIO_19_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_19_SLEW {slow} \ + CONFIG.PSU_MIO_1_DIRECTION {inout} \ + CONFIG.PSU_MIO_1_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_1_INPUT_TYPE {schmitt} \ + CONFIG.PSU_MIO_1_POLARITY {Default} \ + CONFIG.PSU_MIO_1_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_1_SLEW {slow} \ + CONFIG.PSU_MIO_20_DIRECTION {out} \ + CONFIG.PSU_MIO_20_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_20_INPUT_TYPE {cmos} \ + CONFIG.PSU_MIO_20_POLARITY {Default} \ + CONFIG.PSU_MIO_20_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_20_SLEW {slow} \ + CONFIG.PSU_MIO_21_DIRECTION {in} \ + CONFIG.PSU_MIO_21_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_21_INPUT_TYPE {schmitt} \ + CONFIG.PSU_MIO_21_POLARITY {Default} \ + CONFIG.PSU_MIO_21_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_21_SLEW {fast} \ + CONFIG.PSU_MIO_22_DIRECTION {inout} \ + CONFIG.PSU_MIO_22_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_22_INPUT_TYPE {schmitt} \ + CONFIG.PSU_MIO_22_POLARITY {Default} \ + CONFIG.PSU_MIO_22_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_22_SLEW {slow} \ + CONFIG.PSU_MIO_23_DIRECTION {inout} \ + CONFIG.PSU_MIO_23_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_23_INPUT_TYPE {schmitt} \ + CONFIG.PSU_MIO_23_POLARITY {Default} \ + CONFIG.PSU_MIO_23_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_23_SLEW {slow} \ + CONFIG.PSU_MIO_24_DIRECTION {out} \ + CONFIG.PSU_MIO_24_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_24_INPUT_TYPE {cmos} \ + CONFIG.PSU_MIO_24_POLARITY {Default} \ + CONFIG.PSU_MIO_24_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_24_SLEW {slow} \ + CONFIG.PSU_MIO_25_DIRECTION {in} \ + CONFIG.PSU_MIO_25_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_25_INPUT_TYPE {schmitt} \ + CONFIG.PSU_MIO_25_POLARITY {Default} \ + CONFIG.PSU_MIO_25_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_25_SLEW {fast} \ + CONFIG.PSU_MIO_26_DIRECTION {inout} \ + CONFIG.PSU_MIO_26_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_26_INPUT_TYPE {schmitt} \ + CONFIG.PSU_MIO_26_POLARITY {Default} \ + CONFIG.PSU_MIO_26_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_26_SLEW {slow} \ + CONFIG.PSU_MIO_27_DIRECTION {out} \ + CONFIG.PSU_MIO_27_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_27_INPUT_TYPE {cmos} \ + CONFIG.PSU_MIO_27_POLARITY {Default} \ + CONFIG.PSU_MIO_27_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_27_SLEW {slow} \ + CONFIG.PSU_MIO_28_DIRECTION {in} \ + CONFIG.PSU_MIO_28_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_28_INPUT_TYPE {schmitt} \ + CONFIG.PSU_MIO_28_POLARITY {Default} \ + CONFIG.PSU_MIO_28_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_28_SLEW {fast} \ + CONFIG.PSU_MIO_29_DIRECTION {out} \ + CONFIG.PSU_MIO_29_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_29_INPUT_TYPE {cmos} \ + CONFIG.PSU_MIO_29_POLARITY {Default} \ + CONFIG.PSU_MIO_29_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_29_SLEW {slow} \ + CONFIG.PSU_MIO_2_DIRECTION {inout} \ + CONFIG.PSU_MIO_2_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_2_INPUT_TYPE {schmitt} \ + CONFIG.PSU_MIO_2_POLARITY {Default} \ + CONFIG.PSU_MIO_2_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_2_SLEW {slow} \ + CONFIG.PSU_MIO_30_DIRECTION {in} \ + CONFIG.PSU_MIO_30_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_30_INPUT_TYPE {schmitt} \ + CONFIG.PSU_MIO_30_POLARITY {Default} \ + CONFIG.PSU_MIO_30_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_30_SLEW {fast} \ + CONFIG.PSU_MIO_31_DIRECTION {out} \ + CONFIG.PSU_MIO_31_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_31_INPUT_TYPE {cmos} \ + CONFIG.PSU_MIO_31_POLARITY {Default} \ + CONFIG.PSU_MIO_31_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_31_SLEW {slow} \ + CONFIG.PSU_MIO_32_DIRECTION {out} \ + CONFIG.PSU_MIO_32_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_32_INPUT_TYPE {cmos} \ + CONFIG.PSU_MIO_32_POLARITY {Default} \ + CONFIG.PSU_MIO_32_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_32_SLEW {slow} \ + CONFIG.PSU_MIO_33_DIRECTION {out} \ + CONFIG.PSU_MIO_33_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_33_INPUT_TYPE {cmos} \ + CONFIG.PSU_MIO_33_POLARITY {Default} \ + CONFIG.PSU_MIO_33_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_33_SLEW {slow} \ + CONFIG.PSU_MIO_34_DIRECTION {out} \ + CONFIG.PSU_MIO_34_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_34_INPUT_TYPE {cmos} \ + CONFIG.PSU_MIO_34_POLARITY {Default} \ + CONFIG.PSU_MIO_34_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_34_SLEW {slow} \ + CONFIG.PSU_MIO_35_DIRECTION {out} \ + CONFIG.PSU_MIO_35_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_35_INPUT_TYPE {cmos} \ + CONFIG.PSU_MIO_35_POLARITY {Default} \ + CONFIG.PSU_MIO_35_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_35_SLEW {slow} \ + CONFIG.PSU_MIO_36_DIRECTION {out} \ + CONFIG.PSU_MIO_36_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_36_INPUT_TYPE {cmos} \ + CONFIG.PSU_MIO_36_POLARITY {Default} \ + CONFIG.PSU_MIO_36_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_36_SLEW {slow} \ + CONFIG.PSU_MIO_37_DIRECTION {out} \ + CONFIG.PSU_MIO_37_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_37_INPUT_TYPE {cmos} \ + CONFIG.PSU_MIO_37_POLARITY {Default} \ + CONFIG.PSU_MIO_37_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_37_SLEW {slow} \ + CONFIG.PSU_MIO_38_DIRECTION {inout} \ + CONFIG.PSU_MIO_38_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_38_INPUT_TYPE {schmitt} \ + CONFIG.PSU_MIO_38_POLARITY {Default} \ + CONFIG.PSU_MIO_38_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_38_SLEW {slow} \ + CONFIG.PSU_MIO_39_DIRECTION {inout} \ + CONFIG.PSU_MIO_39_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_39_INPUT_TYPE {schmitt} \ + CONFIG.PSU_MIO_39_POLARITY {Default} \ + CONFIG.PSU_MIO_39_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_39_SLEW {slow} \ + CONFIG.PSU_MIO_3_DIRECTION {inout} \ + CONFIG.PSU_MIO_3_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_3_INPUT_TYPE {schmitt} \ + CONFIG.PSU_MIO_3_POLARITY {Default} \ + CONFIG.PSU_MIO_3_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_3_SLEW {slow} \ + CONFIG.PSU_MIO_40_DIRECTION {inout} \ + CONFIG.PSU_MIO_40_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_40_INPUT_TYPE {schmitt} \ + CONFIG.PSU_MIO_40_POLARITY {Default} \ + CONFIG.PSU_MIO_40_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_40_SLEW {slow} \ + CONFIG.PSU_MIO_41_DIRECTION {inout} \ + CONFIG.PSU_MIO_41_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_41_INPUT_TYPE {schmitt} \ + CONFIG.PSU_MIO_41_POLARITY {Default} \ + CONFIG.PSU_MIO_41_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_41_SLEW {slow} \ + CONFIG.PSU_MIO_42_DIRECTION {inout} \ + CONFIG.PSU_MIO_42_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_42_INPUT_TYPE {schmitt} \ + CONFIG.PSU_MIO_42_POLARITY {Default} \ + CONFIG.PSU_MIO_42_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_42_SLEW {slow} \ + CONFIG.PSU_MIO_43_DIRECTION {out} \ + CONFIG.PSU_MIO_43_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_43_INPUT_TYPE {cmos} \ + CONFIG.PSU_MIO_43_POLARITY {Default} \ + CONFIG.PSU_MIO_43_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_43_SLEW {slow} \ + CONFIG.PSU_MIO_44_DIRECTION {in} \ + CONFIG.PSU_MIO_44_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_44_INPUT_TYPE {schmitt} \ + CONFIG.PSU_MIO_44_POLARITY {Default} \ + CONFIG.PSU_MIO_44_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_44_SLEW {fast} \ + CONFIG.PSU_MIO_45_DIRECTION {in} \ + CONFIG.PSU_MIO_45_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_45_INPUT_TYPE {schmitt} \ + CONFIG.PSU_MIO_45_POLARITY {Default} \ + CONFIG.PSU_MIO_45_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_45_SLEW {fast} \ + CONFIG.PSU_MIO_46_DIRECTION {inout} \ + CONFIG.PSU_MIO_46_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_46_INPUT_TYPE {schmitt} \ + CONFIG.PSU_MIO_46_POLARITY {Default} \ + CONFIG.PSU_MIO_46_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_46_SLEW {slow} \ + CONFIG.PSU_MIO_47_DIRECTION {inout} \ + CONFIG.PSU_MIO_47_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_47_INPUT_TYPE {schmitt} \ + CONFIG.PSU_MIO_47_POLARITY {Default} \ + CONFIG.PSU_MIO_47_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_47_SLEW {slow} \ + CONFIG.PSU_MIO_48_DIRECTION {inout} \ + CONFIG.PSU_MIO_48_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_48_INPUT_TYPE {schmitt} \ + CONFIG.PSU_MIO_48_POLARITY {Default} \ + CONFIG.PSU_MIO_48_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_48_SLEW {slow} \ + CONFIG.PSU_MIO_49_DIRECTION {inout} \ + CONFIG.PSU_MIO_49_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_49_INPUT_TYPE {schmitt} \ + CONFIG.PSU_MIO_49_POLARITY {Default} \ + CONFIG.PSU_MIO_49_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_49_SLEW {slow} \ + CONFIG.PSU_MIO_4_DIRECTION {inout} \ + CONFIG.PSU_MIO_4_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_4_INPUT_TYPE {schmitt} \ + CONFIG.PSU_MIO_4_POLARITY {Default} \ + CONFIG.PSU_MIO_4_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_4_SLEW {slow} \ + CONFIG.PSU_MIO_50_DIRECTION {inout} \ + CONFIG.PSU_MIO_50_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_50_INPUT_TYPE {schmitt} \ + CONFIG.PSU_MIO_50_POLARITY {Default} \ + CONFIG.PSU_MIO_50_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_50_SLEW {slow} \ + CONFIG.PSU_MIO_51_DIRECTION {out} \ + CONFIG.PSU_MIO_51_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_51_INPUT_TYPE {cmos} \ + CONFIG.PSU_MIO_51_POLARITY {Default} \ + CONFIG.PSU_MIO_51_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_51_SLEW {slow} \ + CONFIG.PSU_MIO_52_DIRECTION {in} \ + CONFIG.PSU_MIO_52_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_52_INPUT_TYPE {schmitt} \ + CONFIG.PSU_MIO_52_POLARITY {Default} \ + CONFIG.PSU_MIO_52_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_52_SLEW {fast} \ + CONFIG.PSU_MIO_53_DIRECTION {in} \ + CONFIG.PSU_MIO_53_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_53_INPUT_TYPE {schmitt} \ + CONFIG.PSU_MIO_53_POLARITY {Default} \ + CONFIG.PSU_MIO_53_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_53_SLEW {fast} \ + CONFIG.PSU_MIO_54_DIRECTION {inout} \ + CONFIG.PSU_MIO_54_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_54_INPUT_TYPE {schmitt} \ + CONFIG.PSU_MIO_54_POLARITY {Default} \ + CONFIG.PSU_MIO_54_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_54_SLEW {slow} \ + CONFIG.PSU_MIO_55_DIRECTION {in} \ + CONFIG.PSU_MIO_55_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_55_INPUT_TYPE {schmitt} \ + CONFIG.PSU_MIO_55_POLARITY {Default} \ + CONFIG.PSU_MIO_55_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_55_SLEW {fast} \ + CONFIG.PSU_MIO_56_DIRECTION {inout} \ + CONFIG.PSU_MIO_56_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_56_INPUT_TYPE {schmitt} \ + CONFIG.PSU_MIO_56_POLARITY {Default} \ + CONFIG.PSU_MIO_56_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_56_SLEW {slow} \ + CONFIG.PSU_MIO_57_DIRECTION {inout} \ + CONFIG.PSU_MIO_57_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_57_INPUT_TYPE {schmitt} \ + CONFIG.PSU_MIO_57_POLARITY {Default} \ + CONFIG.PSU_MIO_57_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_57_SLEW {slow} \ + CONFIG.PSU_MIO_58_DIRECTION {out} \ + CONFIG.PSU_MIO_58_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_58_INPUT_TYPE {cmos} \ + CONFIG.PSU_MIO_58_POLARITY {Default} \ + CONFIG.PSU_MIO_58_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_58_SLEW {slow} \ + CONFIG.PSU_MIO_59_DIRECTION {inout} \ + CONFIG.PSU_MIO_59_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_59_INPUT_TYPE {schmitt} \ + CONFIG.PSU_MIO_59_POLARITY {Default} \ + CONFIG.PSU_MIO_59_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_59_SLEW {slow} \ + CONFIG.PSU_MIO_5_DIRECTION {out} \ + CONFIG.PSU_MIO_5_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_5_INPUT_TYPE {cmos} \ + CONFIG.PSU_MIO_5_POLARITY {Default} \ + CONFIG.PSU_MIO_5_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_5_SLEW {slow} \ + CONFIG.PSU_MIO_60_DIRECTION {inout} \ + CONFIG.PSU_MIO_60_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_60_INPUT_TYPE {schmitt} \ + CONFIG.PSU_MIO_60_POLARITY {Default} \ + CONFIG.PSU_MIO_60_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_60_SLEW {slow} \ + CONFIG.PSU_MIO_61_DIRECTION {inout} \ + CONFIG.PSU_MIO_61_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_61_INPUT_TYPE {schmitt} \ + CONFIG.PSU_MIO_61_POLARITY {Default} \ + CONFIG.PSU_MIO_61_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_61_SLEW {slow} \ + CONFIG.PSU_MIO_62_DIRECTION {inout} \ + CONFIG.PSU_MIO_62_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_62_INPUT_TYPE {schmitt} \ + CONFIG.PSU_MIO_62_POLARITY {Default} \ + CONFIG.PSU_MIO_62_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_62_SLEW {slow} \ + CONFIG.PSU_MIO_63_DIRECTION {inout} \ + CONFIG.PSU_MIO_63_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_63_INPUT_TYPE {schmitt} \ + CONFIG.PSU_MIO_63_POLARITY {Default} \ + CONFIG.PSU_MIO_63_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_63_SLEW {slow} \ + CONFIG.PSU_MIO_64_DIRECTION {out} \ + CONFIG.PSU_MIO_64_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_64_INPUT_TYPE {cmos} \ + CONFIG.PSU_MIO_64_POLARITY {Default} \ + CONFIG.PSU_MIO_64_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_64_SLEW {slow} \ + CONFIG.PSU_MIO_65_DIRECTION {out} \ + CONFIG.PSU_MIO_65_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_65_INPUT_TYPE {cmos} \ + CONFIG.PSU_MIO_65_POLARITY {Default} \ + CONFIG.PSU_MIO_65_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_65_SLEW {slow} \ + CONFIG.PSU_MIO_66_DIRECTION {out} \ + CONFIG.PSU_MIO_66_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_66_INPUT_TYPE {cmos} \ + CONFIG.PSU_MIO_66_POLARITY {Default} \ + CONFIG.PSU_MIO_66_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_66_SLEW {slow} \ + CONFIG.PSU_MIO_67_DIRECTION {out} \ + CONFIG.PSU_MIO_67_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_67_INPUT_TYPE {cmos} \ + CONFIG.PSU_MIO_67_POLARITY {Default} \ + CONFIG.PSU_MIO_67_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_67_SLEW {slow} \ + CONFIG.PSU_MIO_68_DIRECTION {out} \ + CONFIG.PSU_MIO_68_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_68_INPUT_TYPE {cmos} \ + CONFIG.PSU_MIO_68_POLARITY {Default} \ + CONFIG.PSU_MIO_68_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_68_SLEW {slow} \ + CONFIG.PSU_MIO_69_DIRECTION {out} \ + CONFIG.PSU_MIO_69_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_69_INPUT_TYPE {cmos} \ + CONFIG.PSU_MIO_69_POLARITY {Default} \ + CONFIG.PSU_MIO_69_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_69_SLEW {slow} \ + CONFIG.PSU_MIO_6_DIRECTION {out} \ + CONFIG.PSU_MIO_6_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_6_INPUT_TYPE {cmos} \ + CONFIG.PSU_MIO_6_POLARITY {Default} \ + CONFIG.PSU_MIO_6_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_6_SLEW {slow} \ + CONFIG.PSU_MIO_70_DIRECTION {in} \ + CONFIG.PSU_MIO_70_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_70_INPUT_TYPE {schmitt} \ + CONFIG.PSU_MIO_70_POLARITY {Default} \ + CONFIG.PSU_MIO_70_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_70_SLEW {fast} \ + CONFIG.PSU_MIO_71_DIRECTION {in} \ + CONFIG.PSU_MIO_71_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_71_INPUT_TYPE {schmitt} \ + CONFIG.PSU_MIO_71_POLARITY {Default} \ + CONFIG.PSU_MIO_71_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_71_SLEW {fast} \ + CONFIG.PSU_MIO_72_DIRECTION {in} \ + CONFIG.PSU_MIO_72_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_72_INPUT_TYPE {schmitt} \ + CONFIG.PSU_MIO_72_POLARITY {Default} \ + CONFIG.PSU_MIO_72_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_72_SLEW {fast} \ + CONFIG.PSU_MIO_73_DIRECTION {in} \ + CONFIG.PSU_MIO_73_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_73_INPUT_TYPE {schmitt} \ + CONFIG.PSU_MIO_73_POLARITY {Default} \ + CONFIG.PSU_MIO_73_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_73_SLEW {fast} \ + CONFIG.PSU_MIO_74_DIRECTION {in} \ + CONFIG.PSU_MIO_74_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_74_INPUT_TYPE {schmitt} \ + CONFIG.PSU_MIO_74_POLARITY {Default} \ + CONFIG.PSU_MIO_74_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_74_SLEW {fast} \ + CONFIG.PSU_MIO_75_DIRECTION {in} \ + CONFIG.PSU_MIO_75_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_75_INPUT_TYPE {schmitt} \ + CONFIG.PSU_MIO_75_POLARITY {Default} \ + CONFIG.PSU_MIO_75_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_75_SLEW {fast} \ + CONFIG.PSU_MIO_76_DIRECTION {out} \ + CONFIG.PSU_MIO_76_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_76_INPUT_TYPE {cmos} \ + CONFIG.PSU_MIO_76_POLARITY {Default} \ + CONFIG.PSU_MIO_76_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_76_SLEW {slow} \ + CONFIG.PSU_MIO_77_DIRECTION {inout} \ + CONFIG.PSU_MIO_77_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_77_INPUT_TYPE {schmitt} \ + CONFIG.PSU_MIO_77_POLARITY {Default} \ + CONFIG.PSU_MIO_77_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_77_SLEW {slow} \ + CONFIG.PSU_MIO_7_DIRECTION {out} \ + CONFIG.PSU_MIO_7_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_7_INPUT_TYPE {cmos} \ + CONFIG.PSU_MIO_7_POLARITY {Default} \ + CONFIG.PSU_MIO_7_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_7_SLEW {slow} \ + CONFIG.PSU_MIO_8_DIRECTION {inout} \ + CONFIG.PSU_MIO_8_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_8_INPUT_TYPE {schmitt} \ + CONFIG.PSU_MIO_8_POLARITY {Default} \ + CONFIG.PSU_MIO_8_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_8_SLEW {slow} \ + CONFIG.PSU_MIO_9_DIRECTION {inout} \ + CONFIG.PSU_MIO_9_DRIVE_STRENGTH {12} \ + CONFIG.PSU_MIO_9_INPUT_TYPE {schmitt} \ + CONFIG.PSU_MIO_9_POLARITY {Default} \ + CONFIG.PSU_MIO_9_PULLUPDOWN {pullup} \ + CONFIG.PSU_MIO_9_SLEW {slow} \ + CONFIG.PSU_MIO_TREE_PERIPHERALS { \ + 0#I2C 1#I2C \ + 0#UART 1#UART \ + 0#USB 0#Gem \ + 0#USB 0#Gem \ + 0#USB 0#Gem \ + 0#USB 0#Gem \ + 0#USB 0#Gem \ + 0#USB 0#Gem \ + 1#GPIO0 MIO#GPIO0 \ + 1#GPIO1 MIO#DPAUX#DPAUX#DPAUX#DPAUX#PCIE#PMU \ + 1#SD 1#USB \ + 1#SD 1#USB \ + 1#SD 1#USB \ + 1#SD 1#USB \ + 1#SD 1#USB \ + 1#SD 1#USB \ + 1#UART 0#UART \ + 3#Gem 3#MDIO \ + 3#Gem 3#MDIO \ + 3#Gem 3#MDIO \ + 3#Gem 3#MDIO \ + 3#Gem 3#MDIO \ + 3#Gem 3#MDIO \ + 3#MDIO 3 \ + Flash#Feedback Clk#Quad \ + Flash#Quad SPI \ + Flash#Quad SPI \ + Flash#Quad SPI \ + Flash#Quad SPI \ + Flash#Quad SPI \ + GPO 5#GPIO1 \ + GPO 5#GPIO1 \ + GPO 5#GPIO1 \ + GPO 5#GPIO1 \ + GPO 5#GPIO1 \ + GPO 5#GPIO1 \ + MIO#CAN 1#CAN \ + MIO#I2C 0#I2C \ + MIO#SD 1#SD \ + Quad SPI \ + SPI Flash#GPIO0 \ + SPI Flash#GPIO0 \ + SPI Flash#GPIO0 \ + SPI Flash#GPIO0 \ + SPI Flash#GPIO0 \ + SPI Flash#GPIO0 \ + } \ + CONFIG.PSU_MIO_TREE_SIGNALS {sclk_out#miso_mo1#mo2#mo3#mosi_mi0#n_ss_out#clk_for_lpbk#n_ss_out_upper#mo_upper[0]#mo_upper[1]#mo_upper[2]#mo_upper[3]#sclk_out_upper#gpio0[13]#scl_out#sda_out#scl_out#sda_out#rxd#txd#txd#rxd#gpio0[22]#gpio0[23]#phy_tx#phy_rx#gpio1[26]#dp_aux_data_out#dp_hot_plug_detect#dp_aux_data_oe#dp_aux_data_in#reset_n#gpo[0]#gpo[1]#gpo[2]#gpo[3]#gpo[4]#gpo[5]#gpio1[38]#sdio1_data_out[4]#sdio1_data_out[5]#sdio1_data_out[6]#sdio1_data_out[7]#sdio1_bus_pow#sdio1_wp#sdio1_cd_n#sdio1_data_out[0]#sdio1_data_out[1]#sdio1_data_out[2]#sdio1_data_out[3]#sdio1_cmd_out#sdio1_clk_out#ulpi_clk_in#ulpi_dir#ulpi_tx_data[2]#ulpi_nxt#ulpi_tx_data[0]#ulpi_tx_data[1]#ulpi_stp#ulpi_tx_data[3]#ulpi_tx_data[4]#ulpi_tx_data[5]#ulpi_tx_data[6]#ulpi_tx_data[7]#rgmii_tx_clk#rgmii_txd[0]#rgmii_txd[1]#rgmii_txd[2]#rgmii_txd[3]#rgmii_tx_ctl#rgmii_rx_clk#rgmii_rxd[0]#rgmii_rxd[1]#rgmii_rxd[2]#rgmii_rxd[3]#rgmii_rx_ctl#gem3_mdc#gem3_mdio_out} \ + CONFIG.PSU_PERIPHERAL_BOARD_PRESET {} \ + CONFIG.PSU_SD0_INTERNAL_BUS_WIDTH {8} \ + CONFIG.PSU_SD1_INTERNAL_BUS_WIDTH {8} \ + CONFIG.PSU_SMC_CYCLE_T0 {NA} \ + CONFIG.PSU_SMC_CYCLE_T1 {NA} \ + CONFIG.PSU_SMC_CYCLE_T2 {NA} \ + CONFIG.PSU_SMC_CYCLE_T3 {NA} \ + CONFIG.PSU_SMC_CYCLE_T4 {NA} \ + CONFIG.PSU_SMC_CYCLE_T5 {NA} \ + CONFIG.PSU_SMC_CYCLE_T6 {NA} \ + CONFIG.PSU_USB3__DUAL_CLOCK_ENABLE {1} \ + CONFIG.PSU_VALUE_SILVERSION {3} \ + CONFIG.PSU__ACPU0__POWER__ON {1} \ + CONFIG.PSU__ACPU1__POWER__ON {1} \ + CONFIG.PSU__ACPU2__POWER__ON {1} \ + CONFIG.PSU__ACPU3__POWER__ON {1} \ + CONFIG.PSU__ACTUAL__IP {1} \ + CONFIG.PSU__ACT_DDR_FREQ_MHZ {1066.656006} \ + CONFIG.PSU__AFI0_COHERENCY {0} \ + CONFIG.PSU__AFI1_COHERENCY {0} \ + CONFIG.PSU__AUX_REF_CLK__FREQMHZ {33.333} \ + CONFIG.PSU__CAN0_LOOP_CAN1__ENABLE {0} \ + CONFIG.PSU__CAN0__GRP_CLK__ENABLE {0} \ + CONFIG.PSU__CAN0__PERIPHERAL__ENABLE {0} \ + CONFIG.PSU__CAN1__GRP_CLK__ENABLE {0} \ + CONFIG.PSU__CAN1__PERIPHERAL__ENABLE {1} \ + CONFIG.PSU__CAN1__PERIPHERAL__IO {MIO 24 .. 25} \ + CONFIG.PSU__CRF_APB__ACPU_CTRL__ACT_FREQMHZ {1199.988037} \ + CONFIG.PSU__CRF_APB__ACPU_CTRL__DIVISOR0 {1} \ + CONFIG.PSU__CRF_APB__ACPU_CTRL__FREQMHZ {1200} \ + CONFIG.PSU__CRF_APB__ACPU_CTRL__SRCSEL {APLL} \ + CONFIG.PSU__CRF_APB__ACPU__FRAC_ENABLED {0} \ + CONFIG.PSU__CRF_APB__AFI0_REF_CTRL__ACT_FREQMHZ {667} \ + CONFIG.PSU__CRF_APB__AFI0_REF_CTRL__DIVISOR0 {2} \ + CONFIG.PSU__CRF_APB__AFI0_REF_CTRL__FREQMHZ {667} \ + CONFIG.PSU__CRF_APB__AFI0_REF_CTRL__SRCSEL {DPLL} \ + CONFIG.PSU__CRF_APB__AFI0_REF__ENABLE {0} \ + CONFIG.PSU__CRF_APB__AFI1_REF_CTRL__ACT_FREQMHZ {667} \ + CONFIG.PSU__CRF_APB__AFI1_REF_CTRL__DIVISOR0 {2} \ + CONFIG.PSU__CRF_APB__AFI1_REF_CTRL__FREQMHZ {667} \ + CONFIG.PSU__CRF_APB__AFI1_REF_CTRL__SRCSEL {DPLL} \ + CONFIG.PSU__CRF_APB__AFI1_REF__ENABLE {0} \ + CONFIG.PSU__CRF_APB__AFI2_REF_CTRL__ACT_FREQMHZ {667} \ + CONFIG.PSU__CRF_APB__AFI2_REF_CTRL__DIVISOR0 {2} \ + CONFIG.PSU__CRF_APB__AFI2_REF_CTRL__FREQMHZ {667} \ + CONFIG.PSU__CRF_APB__AFI2_REF_CTRL__SRCSEL {DPLL} \ + CONFIG.PSU__CRF_APB__AFI2_REF__ENABLE {0} \ + CONFIG.PSU__CRF_APB__AFI3_REF_CTRL__ACT_FREQMHZ {667} \ + CONFIG.PSU__CRF_APB__AFI3_REF_CTRL__DIVISOR0 {2} \ + CONFIG.PSU__CRF_APB__AFI3_REF_CTRL__FREQMHZ {667} \ + CONFIG.PSU__CRF_APB__AFI3_REF_CTRL__SRCSEL {DPLL} \ + CONFIG.PSU__CRF_APB__AFI3_REF__ENABLE {0} \ + CONFIG.PSU__CRF_APB__AFI4_REF_CTRL__ACT_FREQMHZ {667} \ + CONFIG.PSU__CRF_APB__AFI4_REF_CTRL__DIVISOR0 {2} \ + CONFIG.PSU__CRF_APB__AFI4_REF_CTRL__FREQMHZ {667} \ + CONFIG.PSU__CRF_APB__AFI4_REF_CTRL__SRCSEL {DPLL} \ + CONFIG.PSU__CRF_APB__AFI4_REF__ENABLE {0} \ + CONFIG.PSU__CRF_APB__AFI5_REF_CTRL__ACT_FREQMHZ {667} \ + CONFIG.PSU__CRF_APB__AFI5_REF_CTRL__DIVISOR0 {2} \ + CONFIG.PSU__CRF_APB__AFI5_REF_CTRL__FREQMHZ {667} \ + CONFIG.PSU__CRF_APB__AFI5_REF_CTRL__SRCSEL {DPLL} \ + CONFIG.PSU__CRF_APB__AFI5_REF__ENABLE {0} \ + CONFIG.PSU__CRF_APB__APLL_CTRL__DIV2 {1} \ + CONFIG.PSU__CRF_APB__APLL_CTRL__FBDIV {72} \ + CONFIG.PSU__CRF_APB__APLL_CTRL__FRACDATA {0.000000} \ + CONFIG.PSU__CRF_APB__APLL_CTRL__FRACFREQ {27.138} \ + CONFIG.PSU__CRF_APB__APLL_CTRL__SRCSEL {PSS_REF_CLK} \ + CONFIG.PSU__CRF_APB__APLL_FRAC_CFG__ENABLED {0} \ + CONFIG.PSU__CRF_APB__APLL_TO_LPD_CTRL__DIVISOR0 {3} \ + CONFIG.PSU__CRF_APB__APM_CTRL__ACT_FREQMHZ {1} \ + CONFIG.PSU__CRF_APB__APM_CTRL__DIVISOR0 {1} \ + CONFIG.PSU__CRF_APB__APM_CTRL__FREQMHZ {1} \ + CONFIG.PSU__CRF_APB__DBG_FPD_CTRL__ACT_FREQMHZ {249.997498} \ + CONFIG.PSU__CRF_APB__DBG_FPD_CTRL__DIVISOR0 {2} \ + CONFIG.PSU__CRF_APB__DBG_FPD_CTRL__FREQMHZ {250} \ + CONFIG.PSU__CRF_APB__DBG_FPD_CTRL__SRCSEL {IOPLL} \ + CONFIG.PSU__CRF_APB__DBG_TRACE_CTRL__ACT_FREQMHZ {250} \ + CONFIG.PSU__CRF_APB__DBG_TRACE_CTRL__DIVISOR0 {5} \ + CONFIG.PSU__CRF_APB__DBG_TRACE_CTRL__FREQMHZ {250} \ + CONFIG.PSU__CRF_APB__DBG_TRACE_CTRL__SRCSEL {IOPLL} \ + CONFIG.PSU__CRF_APB__DBG_TSTMP_CTRL__ACT_FREQMHZ {249.997498} \ + CONFIG.PSU__CRF_APB__DBG_TSTMP_CTRL__DIVISOR0 {2} \ + CONFIG.PSU__CRF_APB__DBG_TSTMP_CTRL__FREQMHZ {250} \ + CONFIG.PSU__CRF_APB__DBG_TSTMP_CTRL__SRCSEL {IOPLL} \ + CONFIG.PSU__CRF_APB__DDR_CTRL__ACT_FREQMHZ {533.328003} \ + CONFIG.PSU__CRF_APB__DDR_CTRL__DIVISOR0 {2} \ + CONFIG.PSU__CRF_APB__DDR_CTRL__FREQMHZ {1067} \ + CONFIG.PSU__CRF_APB__DDR_CTRL__SRCSEL {DPLL} \ + CONFIG.PSU__CRF_APB__DPDMA_REF_CTRL__ACT_FREQMHZ {599.994019} \ + CONFIG.PSU__CRF_APB__DPDMA_REF_CTRL__DIVISOR0 {2} \ + CONFIG.PSU__CRF_APB__DPDMA_REF_CTRL__FREQMHZ {600} \ + CONFIG.PSU__CRF_APB__DPDMA_REF_CTRL__SRCSEL {APLL} \ + CONFIG.PSU__CRF_APB__DPLL_CTRL__DIV2 {1} \ + CONFIG.PSU__CRF_APB__DPLL_CTRL__FBDIV {64} \ + CONFIG.PSU__CRF_APB__DPLL_CTRL__FRACDATA {0.000000} \ + CONFIG.PSU__CRF_APB__DPLL_CTRL__FRACFREQ {27.138} \ + CONFIG.PSU__CRF_APB__DPLL_CTRL__SRCSEL {PSS_REF_CLK} \ + CONFIG.PSU__CRF_APB__DPLL_FRAC_CFG__ENABLED {0} \ + CONFIG.PSU__CRF_APB__DPLL_TO_LPD_CTRL__DIVISOR0 {2} \ + CONFIG.PSU__CRF_APB__DP_AUDIO_REF_CTRL__ACT_FREQMHZ {24.999750} \ + CONFIG.PSU__CRF_APB__DP_AUDIO_REF_CTRL__DIVISOR0 {20} \ + CONFIG.PSU__CRF_APB__DP_AUDIO_REF_CTRL__DIVISOR1 {1} \ + CONFIG.PSU__CRF_APB__DP_AUDIO_REF_CTRL__FREQMHZ {25} \ + CONFIG.PSU__CRF_APB__DP_AUDIO_REF_CTRL__SRCSEL {RPLL} \ + CONFIG.PSU__CRF_APB__DP_AUDIO__FRAC_ENABLED {0} \ + CONFIG.PSU__CRF_APB__DP_STC_REF_CTRL__ACT_FREQMHZ {26.315527} \ + CONFIG.PSU__CRF_APB__DP_STC_REF_CTRL__DIVISOR0 {19} \ + CONFIG.PSU__CRF_APB__DP_STC_REF_CTRL__DIVISOR1 {1} \ + CONFIG.PSU__CRF_APB__DP_STC_REF_CTRL__FREQMHZ {27} \ + CONFIG.PSU__CRF_APB__DP_STC_REF_CTRL__SRCSEL {RPLL} \ + CONFIG.PSU__CRF_APB__DP_VIDEO_REF_CTRL__ACT_FREQMHZ {299.997009} \ + CONFIG.PSU__CRF_APB__DP_VIDEO_REF_CTRL__DIVISOR0 {5} \ + CONFIG.PSU__CRF_APB__DP_VIDEO_REF_CTRL__DIVISOR1 {1} \ + CONFIG.PSU__CRF_APB__DP_VIDEO_REF_CTRL__FREQMHZ {300} \ + CONFIG.PSU__CRF_APB__DP_VIDEO_REF_CTRL__SRCSEL {VPLL} \ + CONFIG.PSU__CRF_APB__DP_VIDEO__FRAC_ENABLED {0} \ + CONFIG.PSU__CRF_APB__GDMA_REF_CTRL__ACT_FREQMHZ {599.994019} \ + CONFIG.PSU__CRF_APB__GDMA_REF_CTRL__DIVISOR0 {2} \ + CONFIG.PSU__CRF_APB__GDMA_REF_CTRL__FREQMHZ {600} \ + CONFIG.PSU__CRF_APB__GDMA_REF_CTRL__SRCSEL {APLL} \ + CONFIG.PSU__CRF_APB__GPU_REF_CTRL__ACT_FREQMHZ {499.994995} \ + CONFIG.PSU__CRF_APB__GPU_REF_CTRL__DIVISOR0 {1} \ + CONFIG.PSU__CRF_APB__GPU_REF_CTRL__FREQMHZ {500} \ + CONFIG.PSU__CRF_APB__GPU_REF_CTRL__SRCSEL {IOPLL} \ + CONFIG.PSU__CRF_APB__GTGREF0_REF_CTRL__ACT_FREQMHZ {-1} \ + CONFIG.PSU__CRF_APB__GTGREF0_REF_CTRL__DIVISOR0 {-1} \ + CONFIG.PSU__CRF_APB__GTGREF0_REF_CTRL__FREQMHZ {-1} \ + CONFIG.PSU__CRF_APB__GTGREF0_REF_CTRL__SRCSEL {NA} \ + CONFIG.PSU__CRF_APB__GTGREF0__ENABLE {NA} \ + CONFIG.PSU__CRF_APB__PCIE_REF_CTRL__ACT_FREQMHZ {249.997498} \ + CONFIG.PSU__CRF_APB__PCIE_REF_CTRL__DIVISOR0 {2} \ + CONFIG.PSU__CRF_APB__PCIE_REF_CTRL__FREQMHZ {250} \ + CONFIG.PSU__CRF_APB__PCIE_REF_CTRL__SRCSEL {IOPLL} \ + CONFIG.PSU__CRF_APB__SATA_REF_CTRL__ACT_FREQMHZ {249.997498} \ + CONFIG.PSU__CRF_APB__SATA_REF_CTRL__DIVISOR0 {2} \ + CONFIG.PSU__CRF_APB__SATA_REF_CTRL__FREQMHZ {250} \ + CONFIG.PSU__CRF_APB__SATA_REF_CTRL__SRCSEL {IOPLL} \ + CONFIG.PSU__CRF_APB__TOPSW_LSBUS_CTRL__ACT_FREQMHZ {99.999001} \ + CONFIG.PSU__CRF_APB__TOPSW_LSBUS_CTRL__DIVISOR0 {5} \ + CONFIG.PSU__CRF_APB__TOPSW_LSBUS_CTRL__FREQMHZ {100} \ + CONFIG.PSU__CRF_APB__TOPSW_LSBUS_CTRL__SRCSEL {IOPLL} \ + CONFIG.PSU__CRF_APB__TOPSW_MAIN_CTRL__ACT_FREQMHZ {533.328003} \ + CONFIG.PSU__CRF_APB__TOPSW_MAIN_CTRL__DIVISOR0 {2} \ + CONFIG.PSU__CRF_APB__TOPSW_MAIN_CTRL__FREQMHZ {533.333} \ + CONFIG.PSU__CRF_APB__TOPSW_MAIN_CTRL__SRCSEL {DPLL} \ + CONFIG.PSU__CRF_APB__VPLL_CTRL__DIV2 {1} \ + CONFIG.PSU__CRF_APB__VPLL_CTRL__FBDIV {90} \ + CONFIG.PSU__CRF_APB__VPLL_CTRL__FRACDATA {0.000000} \ + CONFIG.PSU__CRF_APB__VPLL_CTRL__FRACFREQ {27.138} \ + CONFIG.PSU__CRF_APB__VPLL_CTRL__SRCSEL {PSS_REF_CLK} \ + CONFIG.PSU__CRF_APB__VPLL_FRAC_CFG__ENABLED {0} \ + CONFIG.PSU__CRF_APB__VPLL_TO_LPD_CTRL__DIVISOR0 {3} \ + CONFIG.PSU__CRL_APB__ADMA_REF_CTRL__ACT_FREQMHZ {499.994995} \ + CONFIG.PSU__CRL_APB__ADMA_REF_CTRL__DIVISOR0 {3} \ + CONFIG.PSU__CRL_APB__ADMA_REF_CTRL__FREQMHZ {500} \ + CONFIG.PSU__CRL_APB__ADMA_REF_CTRL__SRCSEL {IOPLL} \ + CONFIG.PSU__CRL_APB__AFI6_REF_CTRL__ACT_FREQMHZ {500} \ + CONFIG.PSU__CRL_APB__AFI6_REF_CTRL__DIVISOR0 {3} \ + CONFIG.PSU__CRL_APB__AFI6_REF_CTRL__FREQMHZ {500} \ + CONFIG.PSU__CRL_APB__AFI6_REF_CTRL__SRCSEL {IOPLL} \ + CONFIG.PSU__CRL_APB__AFI6__ENABLE {0} \ + CONFIG.PSU__CRL_APB__AMS_REF_CTRL__ACT_FREQMHZ {49.999500} \ + CONFIG.PSU__CRL_APB__AMS_REF_CTRL__DIVISOR0 {30} \ + CONFIG.PSU__CRL_APB__AMS_REF_CTRL__DIVISOR1 {1} \ + CONFIG.PSU__CRL_APB__AMS_REF_CTRL__FREQMHZ {50} \ + CONFIG.PSU__CRL_APB__AMS_REF_CTRL__SRCSEL {IOPLL} \ + CONFIG.PSU__CRL_APB__CAN0_REF_CTRL__ACT_FREQMHZ {100} \ + CONFIG.PSU__CRL_APB__CAN0_REF_CTRL__DIVISOR0 {15} \ + CONFIG.PSU__CRL_APB__CAN0_REF_CTRL__DIVISOR1 {1} \ + CONFIG.PSU__CRL_APB__CAN0_REF_CTRL__FREQMHZ {100} \ + CONFIG.PSU__CRL_APB__CAN0_REF_CTRL__SRCSEL {IOPLL} \ + CONFIG.PSU__CRL_APB__CAN1_REF_CTRL__ACT_FREQMHZ {99.999001} \ + CONFIG.PSU__CRL_APB__CAN1_REF_CTRL__DIVISOR0 {15} \ + CONFIG.PSU__CRL_APB__CAN1_REF_CTRL__DIVISOR1 {1} \ + CONFIG.PSU__CRL_APB__CAN1_REF_CTRL__FREQMHZ {100} \ + CONFIG.PSU__CRL_APB__CAN1_REF_CTRL__SRCSEL {IOPLL} \ + CONFIG.PSU__CRL_APB__CPU_R5_CTRL__ACT_FREQMHZ {499.994995} \ + CONFIG.PSU__CRL_APB__CPU_R5_CTRL__DIVISOR0 {3} \ + CONFIG.PSU__CRL_APB__CPU_R5_CTRL__FREQMHZ {500} \ + CONFIG.PSU__CRL_APB__CPU_R5_CTRL__SRCSEL {IOPLL} \ + CONFIG.PSU__CRL_APB__CSU_PLL_CTRL__ACT_FREQMHZ {500} \ + CONFIG.PSU__CRL_APB__CSU_PLL_CTRL__DIVISOR0 {4} \ + CONFIG.PSU__CRL_APB__CSU_PLL_CTRL__FREQMHZ {400} \ + CONFIG.PSU__CRL_APB__CSU_PLL_CTRL__SRCSEL {IOPLL} \ + CONFIG.PSU__CRL_APB__DBG_LPD_CTRL__ACT_FREQMHZ {249.997498} \ + CONFIG.PSU__CRL_APB__DBG_LPD_CTRL__DIVISOR0 {6} \ + CONFIG.PSU__CRL_APB__DBG_LPD_CTRL__FREQMHZ {250} \ + CONFIG.PSU__CRL_APB__DBG_LPD_CTRL__SRCSEL {IOPLL} \ + CONFIG.PSU__CRL_APB__DEBUG_R5_ATCLK_CTRL__ACT_FREQMHZ {1000} \ + CONFIG.PSU__CRL_APB__DEBUG_R5_ATCLK_CTRL__DIVISOR0 {6} \ + CONFIG.PSU__CRL_APB__DEBUG_R5_ATCLK_CTRL__FREQMHZ {1000} \ + CONFIG.PSU__CRL_APB__DEBUG_R5_ATCLK_CTRL__SRCSEL {RPLL} \ + CONFIG.PSU__CRL_APB__DLL_REF_CTRL__ACT_FREQMHZ {1499.984985} \ + CONFIG.PSU__CRL_APB__DLL_REF_CTRL__FREQMHZ {1500} \ + CONFIG.PSU__CRL_APB__DLL_REF_CTRL__SRCSEL {IOPLL} \ + CONFIG.PSU__CRL_APB__GEM0_REF_CTRL__ACT_FREQMHZ {125} \ + CONFIG.PSU__CRL_APB__GEM0_REF_CTRL__DIVISOR0 {12} \ + CONFIG.PSU__CRL_APB__GEM0_REF_CTRL__DIVISOR1 {1} \ + CONFIG.PSU__CRL_APB__GEM0_REF_CTRL__FREQMHZ {125} \ + CONFIG.PSU__CRL_APB__GEM0_REF_CTRL__SRCSEL {IOPLL} \ + CONFIG.PSU__CRL_APB__GEM1_REF_CTRL__ACT_FREQMHZ {125} \ + CONFIG.PSU__CRL_APB__GEM1_REF_CTRL__DIVISOR0 {12} \ + CONFIG.PSU__CRL_APB__GEM1_REF_CTRL__DIVISOR1 {1} \ + CONFIG.PSU__CRL_APB__GEM1_REF_CTRL__FREQMHZ {125} \ + CONFIG.PSU__CRL_APB__GEM1_REF_CTRL__SRCSEL {IOPLL} \ + CONFIG.PSU__CRL_APB__GEM2_REF_CTRL__ACT_FREQMHZ {125} \ + CONFIG.PSU__CRL_APB__GEM2_REF_CTRL__DIVISOR0 {12} \ + CONFIG.PSU__CRL_APB__GEM2_REF_CTRL__DIVISOR1 {1} \ + CONFIG.PSU__CRL_APB__GEM2_REF_CTRL__FREQMHZ {125} \ + CONFIG.PSU__CRL_APB__GEM2_REF_CTRL__SRCSEL {IOPLL} \ + CONFIG.PSU__CRL_APB__GEM3_REF_CTRL__ACT_FREQMHZ {124.998749} \ + CONFIG.PSU__CRL_APB__GEM3_REF_CTRL__DIVISOR0 {12} \ + CONFIG.PSU__CRL_APB__GEM3_REF_CTRL__DIVISOR1 {1} \ + CONFIG.PSU__CRL_APB__GEM3_REF_CTRL__FREQMHZ {125} \ + CONFIG.PSU__CRL_APB__GEM3_REF_CTRL__SRCSEL {IOPLL} \ + CONFIG.PSU__CRL_APB__GEM_TSU_REF_CTRL__ACT_FREQMHZ {249.997498} \ + CONFIG.PSU__CRL_APB__GEM_TSU_REF_CTRL__DIVISOR0 {6} \ + CONFIG.PSU__CRL_APB__GEM_TSU_REF_CTRL__DIVISOR1 {1} \ + CONFIG.PSU__CRL_APB__GEM_TSU_REF_CTRL__FREQMHZ {250} \ + CONFIG.PSU__CRL_APB__GEM_TSU_REF_CTRL__SRCSEL {IOPLL} \ + CONFIG.PSU__CRL_APB__I2C0_REF_CTRL__ACT_FREQMHZ {99.999001} \ + CONFIG.PSU__CRL_APB__I2C0_REF_CTRL__DIVISOR0 {15} \ + CONFIG.PSU__CRL_APB__I2C0_REF_CTRL__DIVISOR1 {1} \ + CONFIG.PSU__CRL_APB__I2C0_REF_CTRL__FREQMHZ {100} \ + CONFIG.PSU__CRL_APB__I2C0_REF_CTRL__SRCSEL {IOPLL} \ + CONFIG.PSU__CRL_APB__I2C1_REF_CTRL__ACT_FREQMHZ {99.999001} \ + CONFIG.PSU__CRL_APB__I2C1_REF_CTRL__DIVISOR0 {15} \ + CONFIG.PSU__CRL_APB__I2C1_REF_CTRL__DIVISOR1 {1} \ + CONFIG.PSU__CRL_APB__I2C1_REF_CTRL__FREQMHZ {100} \ + CONFIG.PSU__CRL_APB__I2C1_REF_CTRL__SRCSEL {IOPLL} \ + CONFIG.PSU__CRL_APB__IOPLL_CTRL__DIV2 {1} \ + CONFIG.PSU__CRL_APB__IOPLL_CTRL__FBDIV {90} \ + CONFIG.PSU__CRL_APB__IOPLL_CTRL__FRACDATA {0.000000} \ + CONFIG.PSU__CRL_APB__IOPLL_CTRL__FRACFREQ {27.138} \ + CONFIG.PSU__CRL_APB__IOPLL_CTRL__SRCSEL {PSS_REF_CLK} \ + CONFIG.PSU__CRL_APB__IOPLL_FRAC_CFG__ENABLED {0} \ + CONFIG.PSU__CRL_APB__IOPLL_TO_FPD_CTRL__DIVISOR0 {3} \ + CONFIG.PSU__CRL_APB__IOU_SWITCH_CTRL__ACT_FREQMHZ {249.997498} \ + CONFIG.PSU__CRL_APB__IOU_SWITCH_CTRL__DIVISOR0 {6} \ + CONFIG.PSU__CRL_APB__IOU_SWITCH_CTRL__FREQMHZ {250} \ + CONFIG.PSU__CRL_APB__IOU_SWITCH_CTRL__SRCSEL {IOPLL} \ + CONFIG.PSU__CRL_APB__LPD_LSBUS_CTRL__ACT_FREQMHZ {99.999001} \ + CONFIG.PSU__CRL_APB__LPD_LSBUS_CTRL__DIVISOR0 {15} \ + CONFIG.PSU__CRL_APB__LPD_LSBUS_CTRL__FREQMHZ {100} \ + CONFIG.PSU__CRL_APB__LPD_LSBUS_CTRL__SRCSEL {IOPLL} \ + CONFIG.PSU__CRL_APB__LPD_SWITCH_CTRL__ACT_FREQMHZ {499.994995} \ + CONFIG.PSU__CRL_APB__LPD_SWITCH_CTRL__DIVISOR0 {3} \ + CONFIG.PSU__CRL_APB__LPD_SWITCH_CTRL__FREQMHZ {500} \ + CONFIG.PSU__CRL_APB__LPD_SWITCH_CTRL__SRCSEL {IOPLL} \ + CONFIG.PSU__CRL_APB__NAND_REF_CTRL__ACT_FREQMHZ {100} \ + CONFIG.PSU__CRL_APB__NAND_REF_CTRL__DIVISOR0 {15} \ + CONFIG.PSU__CRL_APB__NAND_REF_CTRL__DIVISOR1 {1} \ + CONFIG.PSU__CRL_APB__NAND_REF_CTRL__FREQMHZ {100} \ + CONFIG.PSU__CRL_APB__NAND_REF_CTRL__SRCSEL {IOPLL} \ + CONFIG.PSU__CRL_APB__OCM_MAIN_CTRL__ACT_FREQMHZ {500} \ + CONFIG.PSU__CRL_APB__OCM_MAIN_CTRL__DIVISOR0 {3} \ + CONFIG.PSU__CRL_APB__OCM_MAIN_CTRL__FREQMHZ {500} \ + CONFIG.PSU__CRL_APB__OCM_MAIN_CTRL__SRCSEL {IOPLL} \ + CONFIG.PSU__CRL_APB__PCAP_CTRL__ACT_FREQMHZ {187.498123} \ + CONFIG.PSU__CRL_APB__PCAP_CTRL__DIVISOR0 {8} \ + CONFIG.PSU__CRL_APB__PCAP_CTRL__FREQMHZ {200} \ + CONFIG.PSU__CRL_APB__PCAP_CTRL__SRCSEL {IOPLL} \ + CONFIG.PSU__CRL_APB__PL0_REF_CTRL__ACT_FREQMHZ {124.998749} \ + CONFIG.PSU__CRL_APB__PL0_REF_CTRL__DIVISOR0 {12} \ + CONFIG.PSU__CRL_APB__PL0_REF_CTRL__DIVISOR1 {1} \ + CONFIG.PSU__CRL_APB__PL0_REF_CTRL__FREQMHZ {125} \ + CONFIG.PSU__CRL_APB__PL0_REF_CTRL__SRCSEL {RPLL} \ + CONFIG.PSU__CRL_APB__PL1_REF_CTRL__ACT_FREQMHZ {99.999001} \ + CONFIG.PSU__CRL_APB__PL1_REF_CTRL__DIVISOR0 {15} \ + CONFIG.PSU__CRL_APB__PL1_REF_CTRL__DIVISOR1 {1} \ + CONFIG.PSU__CRL_APB__PL1_REF_CTRL__FREQMHZ {100} \ + CONFIG.PSU__CRL_APB__PL1_REF_CTRL__SRCSEL {RPLL} \ + CONFIG.PSU__CRL_APB__PL2_REF_CTRL__ACT_FREQMHZ {100} \ + CONFIG.PSU__CRL_APB__PL2_REF_CTRL__DIVISOR0 {4} \ + CONFIG.PSU__CRL_APB__PL2_REF_CTRL__DIVISOR1 {1} \ + CONFIG.PSU__CRL_APB__PL2_REF_CTRL__FREQMHZ {100} \ + CONFIG.PSU__CRL_APB__PL2_REF_CTRL__SRCSEL {RPLL} \ + CONFIG.PSU__CRL_APB__PL3_REF_CTRL__ACT_FREQMHZ {100} \ + CONFIG.PSU__CRL_APB__PL3_REF_CTRL__DIVISOR0 {4} \ + CONFIG.PSU__CRL_APB__PL3_REF_CTRL__DIVISOR1 {1} \ + CONFIG.PSU__CRL_APB__PL3_REF_CTRL__FREQMHZ {100} \ + CONFIG.PSU__CRL_APB__PL3_REF_CTRL__SRCSEL {RPLL} \ + CONFIG.PSU__CRL_APB__QSPI_REF_CTRL__ACT_FREQMHZ {124.998749} \ + CONFIG.PSU__CRL_APB__QSPI_REF_CTRL__DIVISOR0 {12} \ + CONFIG.PSU__CRL_APB__QSPI_REF_CTRL__DIVISOR1 {1} \ + CONFIG.PSU__CRL_APB__QSPI_REF_CTRL__FREQMHZ {125} \ + CONFIG.PSU__CRL_APB__QSPI_REF_CTRL__SRCSEL {IOPLL} \ + CONFIG.PSU__CRL_APB__RPLL_CTRL__DIV2 {1} \ + CONFIG.PSU__CRL_APB__RPLL_CTRL__FBDIV {90} \ + CONFIG.PSU__CRL_APB__RPLL_CTRL__FRACDATA {0.000000} \ + CONFIG.PSU__CRL_APB__RPLL_CTRL__FRACFREQ {27.138} \ + CONFIG.PSU__CRL_APB__RPLL_CTRL__SRCSEL {PSS_REF_CLK} \ + CONFIG.PSU__CRL_APB__RPLL_FRAC_CFG__ENABLED {0} \ + CONFIG.PSU__CRL_APB__RPLL_TO_FPD_CTRL__DIVISOR0 {3} \ + CONFIG.PSU__CRL_APB__SDIO0_REF_CTRL__ACT_FREQMHZ {200} \ + CONFIG.PSU__CRL_APB__SDIO0_REF_CTRL__DIVISOR0 {7} \ + CONFIG.PSU__CRL_APB__SDIO0_REF_CTRL__DIVISOR1 {1} \ + CONFIG.PSU__CRL_APB__SDIO0_REF_CTRL__FREQMHZ {200} \ + CONFIG.PSU__CRL_APB__SDIO0_REF_CTRL__SRCSEL {IOPLL} \ + CONFIG.PSU__CRL_APB__SDIO1_REF_CTRL__ACT_FREQMHZ {187.498123} \ + CONFIG.PSU__CRL_APB__SDIO1_REF_CTRL__DIVISOR0 {8} \ + CONFIG.PSU__CRL_APB__SDIO1_REF_CTRL__DIVISOR1 {1} \ + CONFIG.PSU__CRL_APB__SDIO1_REF_CTRL__FREQMHZ {200} \ + CONFIG.PSU__CRL_APB__SDIO1_REF_CTRL__SRCSEL {IOPLL} \ + CONFIG.PSU__CRL_APB__SPI0_REF_CTRL__ACT_FREQMHZ {214} \ + CONFIG.PSU__CRL_APB__SPI0_REF_CTRL__DIVISOR0 {7} \ + CONFIG.PSU__CRL_APB__SPI0_REF_CTRL__DIVISOR1 {1} \ + CONFIG.PSU__CRL_APB__SPI0_REF_CTRL__FREQMHZ {200} \ + CONFIG.PSU__CRL_APB__SPI0_REF_CTRL__SRCSEL {IOPLL} \ + CONFIG.PSU__CRL_APB__SPI1_REF_CTRL__ACT_FREQMHZ {214} \ + CONFIG.PSU__CRL_APB__SPI1_REF_CTRL__DIVISOR0 {7} \ + CONFIG.PSU__CRL_APB__SPI1_REF_CTRL__DIVISOR1 {1} \ + CONFIG.PSU__CRL_APB__SPI1_REF_CTRL__FREQMHZ {200} \ + CONFIG.PSU__CRL_APB__SPI1_REF_CTRL__SRCSEL {IOPLL} \ + CONFIG.PSU__CRL_APB__TIMESTAMP_REF_CTRL__ACT_FREQMHZ {99.999001} \ + CONFIG.PSU__CRL_APB__TIMESTAMP_REF_CTRL__DIVISOR0 {15} \ + CONFIG.PSU__CRL_APB__TIMESTAMP_REF_CTRL__FREQMHZ {100} \ + CONFIG.PSU__CRL_APB__TIMESTAMP_REF_CTRL__SRCSEL {IOPLL} \ + CONFIG.PSU__CRL_APB__UART0_REF_CTRL__ACT_FREQMHZ {99.999001} \ + CONFIG.PSU__CRL_APB__UART0_REF_CTRL__DIVISOR0 {15} \ + CONFIG.PSU__CRL_APB__UART0_REF_CTRL__DIVISOR1 {1} \ + CONFIG.PSU__CRL_APB__UART0_REF_CTRL__FREQMHZ {100} \ + CONFIG.PSU__CRL_APB__UART0_REF_CTRL__SRCSEL {IOPLL} \ + CONFIG.PSU__CRL_APB__UART1_REF_CTRL__ACT_FREQMHZ {99.999001} \ + CONFIG.PSU__CRL_APB__UART1_REF_CTRL__DIVISOR0 {15} \ + CONFIG.PSU__CRL_APB__UART1_REF_CTRL__DIVISOR1 {1} \ + CONFIG.PSU__CRL_APB__UART1_REF_CTRL__FREQMHZ {100} \ + CONFIG.PSU__CRL_APB__UART1_REF_CTRL__SRCSEL {IOPLL} \ + CONFIG.PSU__CRL_APB__USB0_BUS_REF_CTRL__ACT_FREQMHZ {249.997498} \ + CONFIG.PSU__CRL_APB__USB0_BUS_REF_CTRL__DIVISOR0 {6} \ + CONFIG.PSU__CRL_APB__USB0_BUS_REF_CTRL__DIVISOR1 {1} \ + CONFIG.PSU__CRL_APB__USB0_BUS_REF_CTRL__FREQMHZ {250} \ + CONFIG.PSU__CRL_APB__USB0_BUS_REF_CTRL__SRCSEL {IOPLL} \ + CONFIG.PSU__CRL_APB__USB1_BUS_REF_CTRL__ACT_FREQMHZ {250} \ + CONFIG.PSU__CRL_APB__USB1_BUS_REF_CTRL__DIVISOR0 {6} \ + CONFIG.PSU__CRL_APB__USB1_BUS_REF_CTRL__DIVISOR1 {1} \ + CONFIG.PSU__CRL_APB__USB1_BUS_REF_CTRL__FREQMHZ {250} \ + CONFIG.PSU__CRL_APB__USB1_BUS_REF_CTRL__SRCSEL {IOPLL} \ + CONFIG.PSU__CRL_APB__USB3_DUAL_REF_CTRL__ACT_FREQMHZ {19.999800} \ + CONFIG.PSU__CRL_APB__USB3_DUAL_REF_CTRL__DIVISOR0 {25} \ + CONFIG.PSU__CRL_APB__USB3_DUAL_REF_CTRL__DIVISOR1 {3} \ + CONFIG.PSU__CRL_APB__USB3_DUAL_REF_CTRL__FREQMHZ {20} \ + CONFIG.PSU__CRL_APB__USB3_DUAL_REF_CTRL__SRCSEL {IOPLL} \ + CONFIG.PSU__CRL_APB__USB3__ENABLE {1} \ + CONFIG.PSU__CSUPMU__PERIPHERAL__VALID {1} \ + CONFIG.PSU__CSU_COHERENCY {0} \ + CONFIG.PSU__CSU__CSU_TAMPER_0__ENABLE {0} \ + CONFIG.PSU__CSU__CSU_TAMPER_0__ERASE_BBRAM {0} \ + CONFIG.PSU__CSU__CSU_TAMPER_10__ENABLE {0} \ + CONFIG.PSU__CSU__CSU_TAMPER_10__ERASE_BBRAM {0} \ + CONFIG.PSU__CSU__CSU_TAMPER_11__ENABLE {0} \ + CONFIG.PSU__CSU__CSU_TAMPER_11__ERASE_BBRAM {0} \ + CONFIG.PSU__CSU__CSU_TAMPER_12__ENABLE {0} \ + CONFIG.PSU__CSU__CSU_TAMPER_12__ERASE_BBRAM {0} \ + CONFIG.PSU__CSU__CSU_TAMPER_1__ENABLE {0} \ + CONFIG.PSU__CSU__CSU_TAMPER_1__ERASE_BBRAM {0} \ + CONFIG.PSU__CSU__CSU_TAMPER_2__ENABLE {0} \ + CONFIG.PSU__CSU__CSU_TAMPER_2__ERASE_BBRAM {0} \ + CONFIG.PSU__CSU__CSU_TAMPER_3__ENABLE {0} \ + CONFIG.PSU__CSU__CSU_TAMPER_3__ERASE_BBRAM {0} \ + CONFIG.PSU__CSU__CSU_TAMPER_4__ENABLE {0} \ + CONFIG.PSU__CSU__CSU_TAMPER_4__ERASE_BBRAM {0} \ + CONFIG.PSU__CSU__CSU_TAMPER_5__ENABLE {0} \ + CONFIG.PSU__CSU__CSU_TAMPER_5__ERASE_BBRAM {0} \ + CONFIG.PSU__CSU__CSU_TAMPER_6__ENABLE {0} \ + CONFIG.PSU__CSU__CSU_TAMPER_6__ERASE_BBRAM {0} \ + CONFIG.PSU__CSU__CSU_TAMPER_7__ENABLE {0} \ + CONFIG.PSU__CSU__CSU_TAMPER_7__ERASE_BBRAM {0} \ + CONFIG.PSU__CSU__CSU_TAMPER_8__ENABLE {0} \ + CONFIG.PSU__CSU__CSU_TAMPER_8__ERASE_BBRAM {0} \ + CONFIG.PSU__CSU__CSU_TAMPER_9__ENABLE {0} \ + CONFIG.PSU__CSU__CSU_TAMPER_9__ERASE_BBRAM {0} \ + CONFIG.PSU__CSU__PERIPHERAL__ENABLE {0} \ + CONFIG.PSU__DDRC__ADDR_MIRROR {0} \ + CONFIG.PSU__DDRC__AL {0} \ + CONFIG.PSU__DDRC__BANK_ADDR_COUNT {2} \ + CONFIG.PSU__DDRC__BG_ADDR_COUNT {1} \ + CONFIG.PSU__DDRC__BRC_MAPPING {ROW_BANK_COL} \ + CONFIG.PSU__DDRC__BUS_WIDTH {64 Bit} \ + CONFIG.PSU__DDRC__CL {15} \ + CONFIG.PSU__DDRC__CLOCK_STOP_EN {0} \ + CONFIG.PSU__DDRC__COL_ADDR_COUNT {10} \ + CONFIG.PSU__DDRC__COMPONENTS {UDIMM} \ + CONFIG.PSU__DDRC__CWL {14} \ + CONFIG.PSU__DDRC__DDR3L_T_REF_RANGE {NA} \ + CONFIG.PSU__DDRC__DDR3_T_REF_RANGE {NA} \ + CONFIG.PSU__DDRC__DDR4_ADDR_MAPPING {0} \ + CONFIG.PSU__DDRC__DDR4_CAL_MODE_ENABLE {0} \ + CONFIG.PSU__DDRC__DDR4_CRC_CONTROL {0} \ + CONFIG.PSU__DDRC__DDR4_MAXPWR_SAVING_EN {0} \ + CONFIG.PSU__DDRC__DDR4_T_REF_MODE {0} \ + CONFIG.PSU__DDRC__DDR4_T_REF_RANGE {Normal (0-85)} \ + CONFIG.PSU__DDRC__DEEP_PWR_DOWN_EN {0} \ + CONFIG.PSU__DDRC__DEVICE_CAPACITY {8192 MBits} \ + CONFIG.PSU__DDRC__DIMM_ADDR_MIRROR {0} \ + CONFIG.PSU__DDRC__DM_DBI {DM_NO_DBI} \ + CONFIG.PSU__DDRC__DQMAP_0_3 {0} \ + CONFIG.PSU__DDRC__DQMAP_12_15 {0} \ + CONFIG.PSU__DDRC__DQMAP_16_19 {0} \ + CONFIG.PSU__DDRC__DQMAP_20_23 {0} \ + CONFIG.PSU__DDRC__DQMAP_24_27 {0} \ + CONFIG.PSU__DDRC__DQMAP_28_31 {0} \ + CONFIG.PSU__DDRC__DQMAP_32_35 {0} \ + CONFIG.PSU__DDRC__DQMAP_36_39 {0} \ + CONFIG.PSU__DDRC__DQMAP_40_43 {0} \ + CONFIG.PSU__DDRC__DQMAP_44_47 {0} \ + CONFIG.PSU__DDRC__DQMAP_48_51 {0} \ + CONFIG.PSU__DDRC__DQMAP_4_7 {0} \ + CONFIG.PSU__DDRC__DQMAP_52_55 {0} \ + CONFIG.PSU__DDRC__DQMAP_56_59 {0} \ + CONFIG.PSU__DDRC__DQMAP_60_63 {0} \ + CONFIG.PSU__DDRC__DQMAP_64_67 {0} \ + CONFIG.PSU__DDRC__DQMAP_68_71 {0} \ + CONFIG.PSU__DDRC__DQMAP_8_11 {0} \ + CONFIG.PSU__DDRC__DRAM_WIDTH {16 Bits} \ + CONFIG.PSU__DDRC__ECC {Disabled} \ + CONFIG.PSU__DDRC__ECC_SCRUB {0} \ + CONFIG.PSU__DDRC__ENABLE {1} \ + CONFIG.PSU__DDRC__ENABLE_2T_TIMING {0} \ + CONFIG.PSU__DDRC__ENABLE_DP_SWITCH {0} \ + CONFIG.PSU__DDRC__ENABLE_LP4_HAS_ECC_COMP {0} \ + CONFIG.PSU__DDRC__ENABLE_LP4_SLOWBOOT {0} \ + CONFIG.PSU__DDRC__EN_2ND_CLK {0} \ + CONFIG.PSU__DDRC__FGRM {1X} \ + CONFIG.PSU__DDRC__FREQ_MHZ {1066.50} \ + CONFIG.PSU__DDRC__LPDDR3_DUALRANK_SDP {0} \ + CONFIG.PSU__DDRC__LPDDR3_T_REF_RANGE {NA} \ + CONFIG.PSU__DDRC__LPDDR4_T_REF_RANGE {NA} \ + CONFIG.PSU__DDRC__LP_ASR {manual normal} \ + CONFIG.PSU__DDRC__MEMORY_TYPE {DDR 4} \ + CONFIG.PSU__DDRC__PARITY_ENABLE {0} \ + CONFIG.PSU__DDRC__PER_BANK_REFRESH {0} \ + CONFIG.PSU__DDRC__PHY_DBI_MODE {0} \ + CONFIG.PSU__DDRC__PLL_BYPASS {0} \ + CONFIG.PSU__DDRC__PWR_DOWN_EN {0} \ + CONFIG.PSU__DDRC__RANK_ADDR_COUNT {0} \ + CONFIG.PSU__DDRC__RD_DQS_CENTER {0} \ + CONFIG.PSU__DDRC__ROW_ADDR_COUNT {16} \ + CONFIG.PSU__DDRC__SB_TARGET {15-15-15} \ + CONFIG.PSU__DDRC__SELF_REF_ABORT {0} \ + CONFIG.PSU__DDRC__SPEED_BIN {DDR4_2133P} \ + CONFIG.PSU__DDRC__STATIC_RD_MODE {0} \ + CONFIG.PSU__DDRC__TRAIN_DATA_EYE {1} \ + CONFIG.PSU__DDRC__TRAIN_READ_GATE {1} \ + CONFIG.PSU__DDRC__TRAIN_WRITE_LEVEL {1} \ + CONFIG.PSU__DDRC__T_FAW {30.0} \ + CONFIG.PSU__DDRC__T_RAS_MIN {33} \ + CONFIG.PSU__DDRC__T_RC {47.06} \ + CONFIG.PSU__DDRC__T_RCD {15} \ + CONFIG.PSU__DDRC__T_RP {15} \ + CONFIG.PSU__DDRC__VENDOR_PART {OTHERS} \ + CONFIG.PSU__DDRC__VIDEO_BUFFER_SIZE {0} \ + CONFIG.PSU__DDRC__VREF {1} \ + CONFIG.PSU__DDR_HIGH_ADDRESS_GUI_ENABLE {1} \ + CONFIG.PSU__DDR_QOS_ENABLE {0} \ + CONFIG.PSU__DDR_QOS_FIX_HP0_RDQOS {} \ + CONFIG.PSU__DDR_QOS_FIX_HP0_WRQOS {} \ + CONFIG.PSU__DDR_QOS_FIX_HP1_RDQOS {} \ + CONFIG.PSU__DDR_QOS_FIX_HP1_WRQOS {} \ + CONFIG.PSU__DDR_QOS_FIX_HP2_RDQOS {} \ + CONFIG.PSU__DDR_QOS_FIX_HP2_WRQOS {} \ + CONFIG.PSU__DDR_QOS_FIX_HP3_RDQOS {} \ + CONFIG.PSU__DDR_QOS_FIX_HP3_WRQOS {} \ + CONFIG.PSU__DDR_QOS_HP0_RDQOS {} \ + CONFIG.PSU__DDR_QOS_HP0_WRQOS {} \ + CONFIG.PSU__DDR_QOS_HP1_RDQOS {} \ + CONFIG.PSU__DDR_QOS_HP1_WRQOS {} \ + CONFIG.PSU__DDR_QOS_HP2_RDQOS {} \ + CONFIG.PSU__DDR_QOS_HP2_WRQOS {} \ + CONFIG.PSU__DDR_QOS_HP3_RDQOS {} \ + CONFIG.PSU__DDR_QOS_HP3_WRQOS {} \ + CONFIG.PSU__DDR_QOS_RD_HPR_THRSHLD {} \ + CONFIG.PSU__DDR_QOS_RD_LPR_THRSHLD {} \ + CONFIG.PSU__DDR_QOS_WR_THRSHLD {} \ + CONFIG.PSU__DDR_SW_REFRESH_ENABLED {1} \ + CONFIG.PSU__DDR__INTERFACE__FREQMHZ {533.500} \ + CONFIG.PSU__DEVICE_TYPE {EV} \ + CONFIG.PSU__DISPLAYPORT__LANE0__ENABLE {1} \ + CONFIG.PSU__DISPLAYPORT__LANE0__IO {GT Lane1} \ + CONFIG.PSU__DISPLAYPORT__LANE1__ENABLE {0} \ + CONFIG.PSU__DISPLAYPORT__PERIPHERAL__ENABLE {1} \ + CONFIG.PSU__DLL__ISUSED {1} \ + CONFIG.PSU__DPAUX__PERIPHERAL__ENABLE {1} \ + CONFIG.PSU__DPAUX__PERIPHERAL__IO {MIO 27 .. 30} \ + CONFIG.PSU__DP__LANE_SEL {Single Lower} \ + CONFIG.PSU__DP__REF_CLK_FREQ {27} \ + CONFIG.PSU__DP__REF_CLK_SEL {Ref Clk3} \ + CONFIG.PSU__ENABLE__DDR__REFRESH__SIGNALS {0} \ + CONFIG.PSU__ENET0__FIFO__ENABLE {0} \ + CONFIG.PSU__ENET0__GRP_MDIO__ENABLE {0} \ + CONFIG.PSU__ENET0__PERIPHERAL__ENABLE {0} \ + CONFIG.PSU__ENET0__PTP__ENABLE {0} \ + CONFIG.PSU__ENET0__TSU__ENABLE {0} \ + CONFIG.PSU__ENET1__FIFO__ENABLE {0} \ + CONFIG.PSU__ENET1__GRP_MDIO__ENABLE {0} \ + CONFIG.PSU__ENET1__PERIPHERAL__ENABLE {0} \ + CONFIG.PSU__ENET1__PTP__ENABLE {0} \ + CONFIG.PSU__ENET1__TSU__ENABLE {0} \ + CONFIG.PSU__ENET2__FIFO__ENABLE {0} \ + CONFIG.PSU__ENET2__GRP_MDIO__ENABLE {0} \ + CONFIG.PSU__ENET2__PERIPHERAL__ENABLE {0} \ + CONFIG.PSU__ENET2__PTP__ENABLE {0} \ + CONFIG.PSU__ENET2__TSU__ENABLE {0} \ + CONFIG.PSU__ENET3__FIFO__ENABLE {0} \ + CONFIG.PSU__ENET3__GRP_MDIO__ENABLE {1} \ + CONFIG.PSU__ENET3__GRP_MDIO__IO {MIO 76 .. 77} \ + CONFIG.PSU__ENET3__PERIPHERAL__ENABLE {1} \ + CONFIG.PSU__ENET3__PERIPHERAL__IO {MIO 64 .. 75} \ + CONFIG.PSU__ENET3__PTP__ENABLE {0} \ + CONFIG.PSU__ENET3__TSU__ENABLE {0} \ + CONFIG.PSU__EN_AXI_STATUS_PORTS {0} \ + CONFIG.PSU__EN_EMIO_TRACE {0} \ + CONFIG.PSU__EP__IP {0} \ + CONFIG.PSU__EXPAND__CORESIGHT {0} \ + CONFIG.PSU__EXPAND__FPD_SLAVES {0} \ + CONFIG.PSU__EXPAND__GIC {0} \ + CONFIG.PSU__EXPAND__LOWER_LPS_SLAVES {0} \ + CONFIG.PSU__EXPAND__UPPER_LPS_SLAVES {0} \ + CONFIG.PSU__FPDMASTERS_COHERENCY {0} \ + CONFIG.PSU__FPD_SLCR__WDT1__ACT_FREQMHZ {99.999001} \ + CONFIG.PSU__FPD_SLCR__WDT1__FREQMHZ {99.999001} \ + CONFIG.PSU__FPD_SLCR__WDT_CLK_SEL__SELECT {APB} \ + CONFIG.PSU__FPGA_PL0_ENABLE {1} \ + CONFIG.PSU__FPGA_PL1_ENABLE {1} \ + CONFIG.PSU__FPGA_PL2_ENABLE {0} \ + CONFIG.PSU__FPGA_PL3_ENABLE {0} \ + CONFIG.PSU__FP__POWER__ON {1} \ + CONFIG.PSU__FTM__CTI_IN_0 {0} \ + CONFIG.PSU__FTM__CTI_IN_1 {0} \ + CONFIG.PSU__FTM__CTI_IN_2 {0} \ + CONFIG.PSU__FTM__CTI_IN_3 {0} \ + CONFIG.PSU__FTM__CTI_OUT_0 {0} \ + CONFIG.PSU__FTM__CTI_OUT_1 {0} \ + CONFIG.PSU__FTM__CTI_OUT_2 {0} \ + CONFIG.PSU__FTM__CTI_OUT_3 {0} \ + CONFIG.PSU__FTM__GPI {0} \ + CONFIG.PSU__FTM__GPO {0} \ + CONFIG.PSU__GEM0_COHERENCY {0} \ + CONFIG.PSU__GEM0_ROUTE_THROUGH_FPD {0} \ + CONFIG.PSU__GEM1_COHERENCY {0} \ + CONFIG.PSU__GEM1_ROUTE_THROUGH_FPD {0} \ + CONFIG.PSU__GEM2_COHERENCY {0} \ + CONFIG.PSU__GEM2_ROUTE_THROUGH_FPD {0} \ + CONFIG.PSU__GEM3_COHERENCY {0} \ + CONFIG.PSU__GEM3_ROUTE_THROUGH_FPD {0} \ + CONFIG.PSU__GEM__TSU__ENABLE {0} \ + CONFIG.PSU__GEN_IPI_0__MASTER {APU} \ + CONFIG.PSU__GEN_IPI_10__MASTER {NONE} \ + CONFIG.PSU__GEN_IPI_1__MASTER {RPU0} \ + CONFIG.PSU__GEN_IPI_2__MASTER {RPU1} \ + CONFIG.PSU__GEN_IPI_3__MASTER {PMU} \ + CONFIG.PSU__GEN_IPI_4__MASTER {PMU} \ + CONFIG.PSU__GEN_IPI_5__MASTER {PMU} \ + CONFIG.PSU__GEN_IPI_6__MASTER {PMU} \ + CONFIG.PSU__GEN_IPI_7__MASTER {NONE} \ + CONFIG.PSU__GEN_IPI_8__MASTER {NONE} \ + CONFIG.PSU__GEN_IPI_9__MASTER {NONE} \ + CONFIG.PSU__GPIO0_MIO__IO {MIO 0 .. 25} \ + CONFIG.PSU__GPIO0_MIO__PERIPHERAL__ENABLE {1} \ + CONFIG.PSU__GPIO1_MIO__IO {MIO 26 .. 51} \ + CONFIG.PSU__GPIO1_MIO__PERIPHERAL__ENABLE {1} \ + CONFIG.PSU__GPIO2_MIO__PERIPHERAL__ENABLE {0} \ + CONFIG.PSU__GPIO_EMIO_WIDTH {1} \ + CONFIG.PSU__GPIO_EMIO__PERIPHERAL__ENABLE {0} \ + CONFIG.PSU__GPIO_EMIO__PERIPHERAL__IO {} \ + CONFIG.PSU__PMU__GPI1__ENABLE {0} \ + CONFIG.PSU__PMU__GPI1__IO {} \ + CONFIG.PSU__PMU__GPI3__ENABLE {0} \ + CONFIG.PSU__PMU__GPI3__IO {} \ + CONFIG.PSU__PMU__GPI5__ENABLE {0} \ + CONFIG.PSU__PMU__GPI5__IO {