-- ################################################################################################# -- # << NEORV32 - Processor-internal bootloader ROM (BOOTROM) >> # -- # ********************************************************************************************* # -- # BSD 3-Clause License # -- # # -- # The NEORV32 RISC-V Processor, https://github.com/stnolting/neorv32 # -- # Copyright (c) 2024, Stephan Nolting. All rights reserved. # -- # # -- # Redistribution and use in source and binary forms, with or without modification, are # -- # permitted provided that the following conditions are met: # -- # # -- # 1. Redistributions of source code must retain the above copyright notice, this list of # -- # conditions and the following disclaimer. # -- # # -- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -- # conditions and the following disclaimer in the documentation and/or other materials # -- # provided with the distribution. # -- # # -- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -- # endorse or promote products derived from this software without specific prior written # -- # permission. # -- # # -- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -- # OF THE POSSIBILITY OF SUCH DAMAGE. # -- ################################################################################################# library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library neorv32; use neorv32.neorv32_package.all; use neorv32.neorv32_bootloader_image.all; -- this file is generated by the image generator entity neorv32_boot_rom is port ( clk_i : in std_ulogic; -- global clock line rstn_i : in std_ulogic; -- async reset, low-active bus_req_i : in bus_req_t; -- bus request bus_rsp_o : out bus_rsp_t -- bus response ); end neorv32_boot_rom; architecture neorv32_boot_rom_rtl of neorv32_boot_rom is -- determine physical ROM size in bytes (expand to next power of two) -- constant boot_rom_size_index_c : natural := index_size_f((bootloader_init_image'length)); -- address with (32-bit entries) constant boot_rom_size_c : natural range 0 to mem_boot_size_c := (2**boot_rom_size_index_c)*4; -- physical size in bytes -- ROM initialized with executable code -- constant mem_rom_c : mem32_t(0 to boot_rom_size_c/4-1) := mem32_init_f(bootloader_init_image, boot_rom_size_c/4); -- local signals -- signal rden : std_ulogic; signal rdata : std_ulogic_vector(31 downto 0); begin -- Memory Access -------------------------------------------------------------------------- -- ------------------------------------------------------------------------------------------- mem_access: process(clk_i) begin if rising_edge(clk_i) then -- no reset to infer block RAM rdata <= mem_rom_c(to_integer(unsigned(bus_req_i.addr(boot_rom_size_index_c+1 downto 2)))); end if; end process mem_access; -- Bus Feedback --------------------------------------------------------------------------- -- ------------------------------------------------------------------------------------------- bus_feedback: process(rstn_i, clk_i) begin if (rstn_i = '0') then rden <= '0'; elsif rising_edge(clk_i) then rden <= bus_req_i.stb and (not bus_req_i.rw); -- read-only end if; end process bus_feedback; bus_rsp_o.data <= rdata when (rden = '1') else (others => '0'); -- output gate bus_rsp_o.ack <= rden; bus_rsp_o.err <= '0'; -- no access error possible end neorv32_boot_rom_rtl;