diff --git a/hdmi-thru/design_1_wrapper.xsa b/hdmi-thru/design_1_wrapper.xsa index 0f31eca..531576a 100644 Binary files a/hdmi-thru/design_1_wrapper.xsa and b/hdmi-thru/design_1_wrapper.xsa differ diff --git a/hdmi-thru/hdmi-thru.runs/impl_1/design_1_wrapper.bit b/hdmi-thru/hdmi-thru.runs/impl_1/design_1_wrapper.bit index 1fa3307..6c93add 100644 Binary files a/hdmi-thru/hdmi-thru.runs/impl_1/design_1_wrapper.bit and b/hdmi-thru/hdmi-thru.runs/impl_1/design_1_wrapper.bit differ diff --git a/hdmi-thru/hdmi-thru.srcs/sources_1/bd/design_1/design_1.bd b/hdmi-thru/hdmi-thru.srcs/sources_1/bd/design_1/design_1.bd index 104229d..37989aa 100644 --- a/hdmi-thru/hdmi-thru.srcs/sources_1/bd/design_1/design_1.bd +++ b/hdmi-thru/hdmi-thru.srcs/sources_1/bd/design_1/design_1.bd @@ -11,8 +11,6 @@ "validated": "true" }, "design_tree": { - "CONST0": "", - "axi_dma_0": "", "axi_gpio_0": "", "axi_iic_0": "", "axi_mem_intercon": { @@ -23,23 +21,10 @@ "auto_pc": "" } }, - "d_axi_i2s_audio_0": "", "hier_1": { - "CONST1": "", "dvi2rgb_0": "", - "rst_processing_system7_0_100M1": "", - "v_tc_0": "", - "v_vid_in_axi4s_0": "", - "axi_iic_1": "", - "CONST2": "", - "rst_processing_system7_0_100M2": "", - "v_axi4s_vid_out_0": "", - "v_tc_1": "", - "v_tpg_0": "", "rgb2dvi_0": "", - "CONST_0": "", "xlconcat_1": "", - "system_ila_0": "", "rgb_op0_0": "" }, "processing_system7_0": "", @@ -58,7 +43,8 @@ "m07_couplers": {} }, "rst_processing_system7_0_100M": "", - "xlconcat_0": "" + "xlconcat_0": "", + "system_ila_0": "" }, "interface_ports": { "DDR": { @@ -309,80 +295,6 @@ } }, "components": { - "CONST0": { - "vlnv": "xilinx.com:ip:xlconstant:1.1", - "xci_name": "design_1_CONST0_0", - "xci_path": "ip/design_1_CONST0_0/design_1_CONST0_0.xci", - "inst_hier_path": "CONST0", - "parameters": { - "CONST_VAL": { - "value": "0" - } - } - }, - "axi_dma_0": { - "vlnv": "xilinx.com:ip:axi_dma:7.1", - "xci_name": "design_1_axi_dma_0_0", - "xci_path": "ip/design_1_axi_dma_0_0/design_1_axi_dma_0_0.xci", - "inst_hier_path": "axi_dma_0", - "parameters": { - "c_include_mm2s_dre": { - "value": "0" - }, - "c_include_s2mm_dre": { - "value": "0" - }, - "c_include_sg": { - "value": "0" - }, - "c_micro_dma": { - "value": "0" - }, - "c_mm2s_burst_size": { - "value": "8" - }, - "c_s2mm_burst_size": { - "value": "8" - }, - "c_sg_length_width": { - "value": "23" - } - }, - "interface_ports": { - "M_AXI_MM2S": { - "vlnv": "xilinx.com:interface:aximm_rtl:1.0", - "mode": "Master", - "address_space_ref": "Data_MM2S", - "base_address": { - "minimum": "0x00000000", - "maximum": "0xFFFFFFFF", - "width": "32" - } - }, - "M_AXI_S2MM": { - "vlnv": "xilinx.com:interface:aximm_rtl:1.0", - "mode": "Master", - "address_space_ref": "Data_S2MM", - "base_address": { - "minimum": "0x00000000", - "maximum": "0xFFFFFFFF", - "width": "32" - } - } - }, - "addressing": { - "address_spaces": { - "Data_MM2S": { - "range": "4G", - "width": "32" - }, - "Data_S2MM": { - "range": "4G", - "width": "32" - } - } - } - }, "axi_gpio_0": { "vlnv": "xilinx.com:ip:axi_gpio:2.0", "xci_name": "design_1_axi_gpio_0_0", @@ -862,43 +774,17 @@ } } }, - "d_axi_i2s_audio_0": { - "vlnv": "digilentinc.com:user:d_axi_i2s_audio:2.0", - "xci_name": "design_1_d_axi_i2s_audio_0_0", - "xci_path": "ip/design_1_d_axi_i2s_audio_0_0/design_1_d_axi_i2s_audio_0_0.xci", - "inst_hier_path": "d_axi_i2s_audio_0", - "parameters": { - "ENABLE_STREAM": { - "value": "true" - } - } - }, "hier_1": { "interface_ports": { - "ctrl": { - "mode": "Slave", - "vlnv_bus_definition": "xilinx.com:interface:aximm:1.0", - "vlnv": "xilinx.com:interface:aximm_rtl:1.0" - }, "hdmi_in_ddc": { "mode": "Master", "vlnv_bus_definition": "xilinx.com:interface:iic:1.0", "vlnv": "xilinx.com:interface:iic_rtl:1.0" }, - "S_AXI": { - "mode": "Slave", - "vlnv_bus_definition": "xilinx.com:interface:aximm:1.0", - "vlnv": "xilinx.com:interface:aximm_rtl:1.0" - }, - "s_axi_CTRL": { - "mode": "Slave", - "vlnv_bus_definition": "xilinx.com:interface:aximm:1.0", - "vlnv": "xilinx.com:interface:aximm_rtl:1.0" - }, - "ctrl1": { - "mode": "Slave", - "vlnv_bus_definition": "xilinx.com:interface:aximm:1.0", - "vlnv": "xilinx.com:interface:aximm_rtl:1.0" + "iV": { + "mode": "Monitor", + "vlnv_bus_definition": "xilinx.com:interface:vid_io:1.0", + "vlnv": "xilinx.com:interface:vid_io_rtl:1.0" } }, "ports": { @@ -927,30 +813,14 @@ "aPixelClkLckd_0": { "direction": "O" }, - "ext_reset_in": { - "type": "rst", - "direction": "I" - }, "pLocked_0": { "direction": "O" }, - "s_axi_aclk": { - "type": "clk", - "direction": "I" - }, - "s_axi_aresetn": { - "type": "rst", - "direction": "I" - }, "hdmi_rx_hpd": { "direction": "O", "left": "0", "right": "0" }, - "PixelClk": { - "type": "clk", - "direction": "I" - }, "TMDS_Clk_n_0": { "type": "clk", "direction": "O" @@ -981,15 +851,28 @@ }, "tx_hpd_0": { "direction": "I" + }, + "PixelClk": { + "type": "clk", + "direction": "O" + }, + "cnt_iac1": { + "direction": "O", + "left": "15", + "right": "0" + }, + "cnt_ihs1": { + "direction": "O", + "left": "15", + "right": "0" + }, + "cnt_ivs1": { + "direction": "O", + "left": "15", + "right": "0" } }, "components": { - "CONST1": { - "vlnv": "xilinx.com:ip:xlconstant:1.1", - "xci_name": "design_1_CONST1_1", - "xci_path": "ip/design_1_CONST1_1/design_1_CONST1_1.xci", - "inst_hier_path": "hier_1/CONST1" - }, "dvi2rgb_0": { "vlnv": "digilentinc.com:ip:dvi2rgb:2.0", "xci_name": "design_1_dvi2rgb_0_0", @@ -999,6 +882,9 @@ "kClkRange": { "value": "3" }, + "kDebug": { + "value": "false" + }, "kEmulateDDC": { "value": "true" }, @@ -1007,120 +893,6 @@ } } }, - "rst_processing_system7_0_100M1": { - "vlnv": "xilinx.com:ip:proc_sys_reset:5.0", - "xci_name": "design_1_rst_processing_system7_0_100M1_1", - "xci_path": "ip/design_1_rst_processing_system7_0_100M1_1/design_1_rst_processing_system7_0_100M1_1.xci", - "inst_hier_path": "hier_1/rst_processing_system7_0_100M1" - }, - "v_tc_0": { - "vlnv": "xilinx.com:ip:v_tc:6.2", - "xci_name": "design_1_v_tc_0_1", - "xci_path": "ip/design_1_v_tc_0_1/design_1_v_tc_0_1.xci", - "inst_hier_path": "hier_1/v_tc_0", - "parameters": { - "auto_generation_mode": { - "value": "true" - }, - "enable_generation": { - "value": "true" - } - } - }, - "v_vid_in_axi4s_0": { - "vlnv": "xilinx.com:ip:v_vid_in_axi4s:5.0", - "xci_name": "design_1_v_vid_in_axi4s_0_0", - "xci_path": "ip/design_1_v_vid_in_axi4s_0_0/design_1_v_vid_in_axi4s_0_0.xci", - "inst_hier_path": "hier_1/v_vid_in_axi4s_0", - "parameters": { - "C_HAS_ASYNC_CLK": { - "value": "1" - } - } - }, - "axi_iic_1": { - "vlnv": "xilinx.com:ip:axi_iic:2.1", - "xci_name": "design_1_axi_iic_1_0", - "xci_path": "ip/design_1_axi_iic_1_0/design_1_axi_iic_1_0.xci", - "inst_hier_path": "hier_1/axi_iic_1" - }, - "CONST2": { - "vlnv": "xilinx.com:ip:xlconstant:1.1", - "xci_name": "design_1_CONST1_0", - "xci_path": "ip/design_1_CONST1_0/design_1_CONST1_0.xci", - "inst_hier_path": "hier_1/CONST2" - }, - "rst_processing_system7_0_100M2": { - "vlnv": "xilinx.com:ip:proc_sys_reset:5.0", - "xci_name": "design_1_rst_processing_system7_0_100M1_0", - "xci_path": "ip/design_1_rst_processing_system7_0_100M1_0/design_1_rst_processing_system7_0_100M1_0.xci", - "inst_hier_path": "hier_1/rst_processing_system7_0_100M2" - }, - "v_axi4s_vid_out_0": { - "vlnv": "xilinx.com:ip:v_axi4s_vid_out:4.0", - "xci_name": "design_1_v_axi4s_vid_out_0_0", - "xci_path": "ip/design_1_v_axi4s_vid_out_0_0/design_1_v_axi4s_vid_out_0_0.xci", - "inst_hier_path": "hier_1/v_axi4s_vid_out_0", - "parameters": { - "C_HAS_ASYNC_CLK": { - "value": "1" - } - } - }, - "v_tc_1": { - "vlnv": "xilinx.com:ip:v_tc:6.2", - "xci_name": "design_1_v_tc_0_0", - "xci_path": "ip/design_1_v_tc_0_0/design_1_v_tc_0_0.xci", - "inst_hier_path": "hier_1/v_tc_1", - "parameters": { - "active_chroma_generation": { - "value": "false" - }, - "enable_detection": { - "value": "false" - }, - "horizontal_blank_generation": { - "value": "true" - }, - "vertical_blank_generation": { - "value": "true" - } - } - }, - "v_tpg_0": { - "vlnv": "xilinx.com:ip:v_tpg:8.2", - "xci_name": "design_1_v_tpg_0_0", - "xci_path": "ip/design_1_v_tpg_0_0/design_1_v_tpg_0_0.xci", - "inst_hier_path": "hier_1/v_tpg_0", - "parameters": { - "FOREGROUND": { - "value": "1" - } - }, - "interface_ports": { - "s_axi_CTRL": { - "vlnv": "xilinx.com:interface:aximm_rtl:1.0", - "mode": "Slave", - "memory_map_ref": "s_axi_CTRL" - } - }, - "addressing": { - "memory_maps": { - "s_axi_CTRL": { - "address_blocks": { - "Reg": { - "base_address": "0", - "range": "64K", - "width": "16", - "usage": "register", - "offset_base_param": "C_S_AXI_CTRL_BASEADDR", - "offset_high_param": "C_S_AXI_CTRL_HIGHADDR" - } - } - } - } - } - }, "rgb2dvi_0": { "vlnv": "digilentinc.com:ip:rgb2dvi:1.4", "xci_name": "design_1_rgb2dvi_0_0", @@ -1135,52 +907,12 @@ } } }, - "CONST_0": { - "vlnv": "xilinx.com:ip:xlconstant:1.1", - "xci_name": "design_1_xlconstant_0_0", - "xci_path": "ip/design_1_xlconstant_0_0/design_1_xlconstant_0_0.xci", - "inst_hier_path": "hier_1/CONST_0", - "parameters": { - "CONST_VAL": { - "value": "0" - } - } - }, "xlconcat_1": { "vlnv": "xilinx.com:ip:xlconcat:2.1", "xci_name": "design_1_xlconcat_1_0", "xci_path": "ip/design_1_xlconcat_1_0/design_1_xlconcat_1_0.xci", "inst_hier_path": "hier_1/xlconcat_1" }, - "system_ila_0": { - "vlnv": "xilinx.com:ip:system_ila:1.1", - "xci_name": "design_1_system_ila_0_0", - "xci_path": "ip/design_1_system_ila_0_0/design_1_system_ila_0_0.xci", - "inst_hier_path": "hier_1/system_ila_0", - "parameters": { - "C_MON_TYPE": { - "value": "NATIVE" - }, - "C_NUM_OF_PROBES": { - "value": "5" - }, - "C_PROBE0_TYPE": { - "value": "0" - }, - "C_PROBE1_TYPE": { - "value": "0" - }, - "C_PROBE2_TYPE": { - "value": "0" - }, - "C_PROBE3_TYPE": { - "value": "0" - }, - "C_PROBE4_TYPE": { - "value": "0" - } - } - }, "rgb_op0_0": { "vlnv": "xilinx.com:module_ref:rgb_op0:1.0", "xci_name": "design_1_rgb_op0_0_0", @@ -1244,6 +976,21 @@ } }, "ports": { + "cnt_iac": { + "direction": "O", + "left": "15", + "right": "0" + }, + "cnt_ihs": { + "direction": "O", + "left": "15", + "right": "0" + }, + "cnt_ivs": { + "direction": "O", + "left": "15", + "right": "0" + }, "isel": { "direction": "I", "left": "1", @@ -1281,6 +1028,23 @@ } } }, + "PixelClk": { + "direction": "I", + "parameters": { + "FREQ_HZ": { + "value": "100000000", + "value_src": "default_prop" + }, + "PHASE": { + "value": "0.0", + "value_src": "default_prop" + }, + "CLK_DOMAIN": { + "value": "design_1_dvi2rgb_0_0_PixelClk", + "value_src": "default_prop" + } + } + }, "tx_hpd": { "direction": "I" }, @@ -1291,30 +1055,6 @@ } }, "interface_nets": { - "Conn1": { - "interface_ports": [ - "ctrl", - "v_tc_0/ctrl" - ] - }, - "Conn2": { - "interface_ports": [ - "s_axi_CTRL", - "v_tpg_0/s_axi_CTRL" - ] - }, - "Conn3": { - "interface_ports": [ - "S_AXI", - "axi_iic_1/S_AXI" - ] - }, - "Conn4": { - "interface_ports": [ - "ctrl1", - "v_tc_1/ctrl" - ] - }, "dvi2rgb_0_DDC": { "interface_ports": [ "hdmi_in_ddc", @@ -1324,43 +1064,8 @@ "dvi2rgb_0_RGB": { "interface_ports": [ "rgb_op0_0/iV", - "dvi2rgb_0/RGB" - ] - }, - "rgb_op0_0_oV": { - "interface_ports": [ - "rgb_op0_0/oV", - "rgb2dvi_0/RGB" - ] - }, - "v_tc_0_vtiming_out": { - "interface_ports": [ - "v_axi4s_vid_out_0/vtiming_in", - "v_tc_1/vtiming_out" - ] - }, - "v_tpg_0_m_axis_video": { - "interface_ports": [ - "v_axi4s_vid_out_0/video_in", - "v_tpg_0/m_axis_video" - ] - }, - "v_vid_in_axi4s_0_vtiming_out": { - "interface_ports": [ - "v_tc_0/vtiming_in", - "v_vid_in_axi4s_0/vtiming_out" - ] - } - }, - "nets": { - "CONST1_dout": { - "ports": [ - "rgb_op0_0/rx_hpd", - "v_vid_in_axi4s_0/aclken", - "v_vid_in_axi4s_0/aresetn", - "v_vid_in_axi4s_0/axis_enable", - "hdmi_rx_hpd", - "system_ila_0/probe0" + "dvi2rgb_0/RGB", + "iV" ], "hdl_attributes": { "DEBUG": { @@ -1371,31 +1076,24 @@ } } }, - "CONST1_dout_1": { - "ports": [ - "CONST2/dout", - "v_axi4s_vid_out_0/aclken", - "v_axi4s_vid_out_0/aresetn", - "v_axi4s_vid_out_0/vid_io_out_ce", - "v_tc_1/clken", - "v_tc_1/resetn", - "v_tc_1/s_axi_aclken" + "rgb_op0_0_oV": { + "interface_ports": [ + "rgb_op0_0/oV", + "rgb2dvi_0/RGB" ] - }, - "PixelClk_1": { + } + }, + "nets": { + "CONST1_dout": { "ports": [ - "PixelClk", - "rst_processing_system7_0_100M2/slowest_sync_clk", - "v_axi4s_vid_out_0/vid_io_out_clk", - "v_tc_1/clk" + "rgb_op0_0/rx_hpd", + "hdmi_rx_hpd" ] }, "RefClk_0_1": { "ports": [ "RefClk", "dvi2rgb_0/RefClk", - "rst_processing_system7_0_100M1/slowest_sync_clk", - "system_ila_0/clk", "rgb_op0_0/clk" ] }, @@ -1423,19 +1121,60 @@ "dvi2rgb_0/TMDS_Data_p" ] }, + "cnt_iac": { + "ports": [ + "rgb_op0_0/cnt_iac", + "cnt_iac1" + ], + "hdl_attributes": { + "DEBUG": { + "value": "true" + }, + "MARK_DEBUG": { + "value": "true" + } + } + }, + "cnt_ihs": { + "ports": [ + "rgb_op0_0/cnt_ihs", + "cnt_ihs1" + ], + "hdl_attributes": { + "DEBUG": { + "value": "true" + }, + "MARK_DEBUG": { + "value": "true" + } + } + }, + "cnt_ivs": { + "ports": [ + "rgb_op0_0/cnt_ivs", + "cnt_ivs1" + ], + "hdl_attributes": { + "DEBUG": { + "value": "true" + }, + "MARK_DEBUG": { + "value": "true" + } + } + }, "dvi2rgb_0_PixelClk": { "ports": [ "dvi2rgb_0/PixelClk", - "v_vid_in_axi4s_0/vid_io_in_clk", - "rgb2dvi_0/PixelClk" + "rgb2dvi_0/PixelClk", + "PixelClk", + "rgb_op0_0/PixelClk" ] }, "dvi2rgb_0_aPixelClkLckd": { "ports": [ "dvi2rgb_0/aPixelClkLckd", "aPixelClkLckd_0", - "v_vid_in_axi4s_0/vid_io_in_ce", - "system_ila_0/probe1", "rgb_op0_0/hdmi_in_aPixelClkLckd", "rgb_op0_0/hdmi_out_aPixelClkLckd" ], @@ -1454,13 +1193,6 @@ "pLocked_0" ] }, - "ext_reset_in_1": { - "ports": [ - "ext_reset_in", - "rst_processing_system7_0_100M1/ext_reset_in", - "rst_processing_system7_0_100M2/ext_reset_in" - ] - }, "rgb2dvi_0_TMDS_Clk_n": { "ports": [ "rgb2dvi_0/TMDS_Clk_n", @@ -1488,56 +1220,13 @@ "rgb_op0_0_hdmi_in_arst": { "ports": [ "rgb_op0_0/hdmi_in_arst", - "dvi2rgb_0/aRst", - "system_ila_0/probe2" - ], - "hdl_attributes": { - "DEBUG": { - "value": "true" - }, - "MARK_DEBUG": { - "value": "true" - } - } + "dvi2rgb_0/aRst" + ] }, "rgb_op0_0_hdmi_out_arst": { "ports": [ "rgb_op0_0/hdmi_out_arst", - "rgb2dvi_0/aRst", - "system_ila_0/probe3" - ], - "hdl_attributes": { - "DEBUG": { - "value": "true" - }, - "MARK_DEBUG": { - "value": "true" - } - } - }, - "rst_processing_system7_0_100M1_peripheral_reset": { - "ports": [ - "rst_processing_system7_0_100M2/peripheral_reset", - "v_axi4s_vid_out_0/vid_io_out_reset" - ] - }, - "s_axi_aclk_1": { - "ports": [ - "s_axi_aclk", - "v_tc_0/clk", - "v_tc_0/s_axi_aclk", - "v_vid_in_axi4s_0/aclk", - "axi_iic_1/s_axi_aclk", - "v_axi4s_vid_out_0/aclk", - "v_tc_1/s_axi_aclk", - "v_tpg_0/ap_clk" - ] - }, - "s_axi_aresetn_1": { - "ports": [ - "s_axi_aresetn", - "v_tc_0/s_axi_aresetn", - "axi_iic_1/s_axi_aresetn" + "rgb2dvi_0/aRst" ] }, "sws_2bits_tri_i_0_1": { @@ -1555,28 +1244,7 @@ "tx_hpd_0_1": { "ports": [ "tx_hpd_0", - "system_ila_0/probe4", "rgb_op0_0/tx_hpd" - ], - "hdl_attributes": { - "DEBUG": { - "value": "true" - }, - "MARK_DEBUG": { - "value": "true" - } - } - }, - "v_axi4s_vid_out_0_sof_state_out": { - "ports": [ - "v_axi4s_vid_out_0/sof_state_out", - "v_tc_1/sof_state" - ] - }, - "vtg_ce": { - "ports": [ - "v_axi4s_vid_out_0/vtg_ce", - "v_tc_1/gen_clken" ] }, "xlconcat_1_dout": { @@ -4489,26 +4157,52 @@ "value": "4" } } + }, + "system_ila_0": { + "vlnv": "xilinx.com:ip:system_ila:1.1", + "xci_name": "design_1_system_ila_0_0", + "xci_path": "ip/design_1_system_ila_0_0/design_1_system_ila_0_0.xci", + "inst_hier_path": "system_ila_0", + "parameters": { + "C_MON_TYPE": { + "value": "MIX" + }, + "C_NUM_MONITOR_SLOTS": { + "value": "1" + }, + "C_NUM_OF_PROBES": { + "value": "4" + }, + "C_PROBE0_TYPE": { + "value": "0" + }, + "C_SLOT_0_INTF_TYPE": { + "value": "xilinx.com:interface:vid_io_rtl:1.0" + }, + "C_SLOT_0_TYPE": { + "value": "0" + } + }, + "interface_ports": { + "SLOT_0_VID_IO": { + "mode": "Monitor", + "vlnv_bus_definition": "xilinx.com:interface:vid_io:1.0", + "vlnv": "xilinx.com:interface:vid_io_rtl:1.0" + } + } } }, "interface_nets": { - "axi_dma_0_M_AXIS_MM2S": { + "Conn": { "interface_ports": [ - "axi_dma_0/M_AXIS_MM2S", - "d_axi_i2s_audio_0/AXI_MM2S" - ] - }, - "axi_dma_0_M_AXI_MM2S": { - "interface_ports": [ - "axi_dma_0/M_AXI_MM2S", - "axi_mem_intercon/S00_AXI" - ] - }, - "axi_dma_0_M_AXI_S2MM": { - "interface_ports": [ - "axi_dma_0/M_AXI_S2MM", - "axi_mem_intercon/S01_AXI" - ] + "system_ila_0/SLOT_0_VID_IO", + "hier_1/iV" + ], + "hdl_attributes": { + "DEBUG": { + "value": "true" + } + } }, "axi_gpio_0_GPIO": { "interface_ports": [ @@ -4528,12 +4222,6 @@ "processing_system7_0/S_AXI_HP0" ] }, - "d_axi_i2s_audio_0_AXI_S2MM": { - "interface_ports": [ - "axi_dma_0/S_AXIS_S2MM", - "d_axi_i2s_audio_0/AXI_S2MM" - ] - }, "hier_1_hdmi_in_ddc": { "interface_ports": [ "hdmi_in_ddc", @@ -4558,18 +4246,6 @@ "processing_system7_0_axi_periph/S00_AXI" ] }, - "processing_system7_0_axi_periph_M00_AXI": { - "interface_ports": [ - "axi_dma_0/S_AXI_LITE", - "processing_system7_0_axi_periph/M00_AXI" - ] - }, - "processing_system7_0_axi_periph_M01_AXI": { - "interface_ports": [ - "d_axi_i2s_audio_0/AXI_L", - "processing_system7_0_axi_periph/M01_AXI" - ] - }, "processing_system7_0_axi_periph_M02_AXI": { "interface_ports": [ "axi_iic_0/S_AXI", @@ -4581,39 +4257,9 @@ "axi_gpio_0/S_AXI", "processing_system7_0_axi_periph/M03_AXI" ] - }, - "processing_system7_0_axi_periph_M04_AXI": { - "interface_ports": [ - "processing_system7_0_axi_periph/M04_AXI", - "hier_1/s_axi_CTRL" - ] - }, - "processing_system7_0_axi_periph_M05_AXI": { - "interface_ports": [ - "processing_system7_0_axi_periph/M05_AXI", - "hier_1/ctrl1" - ] - }, - "processing_system7_0_axi_periph_M06_AXI": { - "interface_ports": [ - "hier_1/ctrl", - "processing_system7_0_axi_periph/M06_AXI" - ] - }, - "processing_system7_0_axi_periph_M07_AXI": { - "interface_ports": [ - "processing_system7_0_axi_periph/M07_AXI", - "hier_1/S_AXI" - ] } }, "nets": { - "SDATA_I_0_1": { - "ports": [ - "SDATA_I", - "d_axi_i2s_audio_0/SDATA_I" - ] - }, "TMDS_Clk_n_1_1": { "ports": [ "TMDS_Clk_n_1", @@ -4638,18 +4284,6 @@ "hier_1/TMDS_Data_p_1" ] }, - "axi_dma_0_mm2s_introut": { - "ports": [ - "axi_dma_0/mm2s_introut", - "xlconcat_0/In0" - ] - }, - "axi_dma_0_s2mm_introut": { - "ports": [ - "axi_dma_0/s2mm_introut", - "xlconcat_0/In1" - ] - }, "axi_gpio_0_ip2intc_irpt": { "ports": [ "axi_gpio_0/ip2intc_irpt", @@ -4662,41 +4296,18 @@ "xlconcat_0/In2" ] }, - "d_axi_i2s_audio_0_BCLK_O": { - "ports": [ - "d_axi_i2s_audio_0/BCLK_O", - "BCLK_O" - ] - }, - "d_axi_i2s_audio_0_LRCLK_O": { - "ports": [ - "d_axi_i2s_audio_0/LRCLK_O", - "LRCLK_O" - ] - }, - "d_axi_i2s_audio_0_MCLK_O": { - "ports": [ - "d_axi_i2s_audio_0/MCLK_O", - "MCLK_O" - ] - }, - "d_axi_i2s_audio_0_SDATA_O": { - "ports": [ - "d_axi_i2s_audio_0/SDATA_O", - "SDATA_O" - ] - }, "hdmi_tx_hpd_1": { "ports": [ "hdmi_tx_hpd", "leds_4bits_tri_o_2", "hier_1/tx_hpd_0" - ], - "hdl_attributes": { - "DEBUG": { - "value": "true" - } - } + ] + }, + "hier_1_PixelClk": { + "ports": [ + "hier_1/PixelClk", + "system_ila_0/clk" + ] }, "hier_1_TMDS_Clk_n_0": { "ports": [ @@ -4725,7 +4336,41 @@ "hier_1_aPixelClkLckd_0": { "ports": [ "hier_1/aPixelClkLckd_0", - "leds_4bits_tri_o_0" + "leds_4bits_tri_o_0", + "system_ila_0/probe0" + ], + "hdl_attributes": { + "DEBUG": { + "value": "true" + } + } + }, + "hier_1_cnt_iac1": { + "ports": [ + "hier_1/cnt_iac1", + "system_ila_0/probe1" + ], + "hdl_attributes": { + "DEBUG": { + "value": "true" + } + } + }, + "hier_1_cnt_ihs1": { + "ports": [ + "hier_1/cnt_ihs1", + "system_ila_0/probe2" + ], + "hdl_attributes": { + "DEBUG": { + "value": "true" + } + } + }, + "hier_1_cnt_ivs1": { + "ports": [ + "hier_1/cnt_ivs1", + "system_ila_0/probe3" ], "hdl_attributes": { "DEBUG": { @@ -4738,12 +4383,7 @@ "hier_1/hdmi_rx_hpd", "hdmi_rx_hpd", "leds_4bits_tri_o_3" - ], - "hdl_attributes": { - "DEBUG": { - "value": "true" - } - } + ] }, "hier_1_pLocked_0": { "ports": [ @@ -4754,20 +4394,12 @@ "processing_system7_0_FCLK_CLK0": { "ports": [ "processing_system7_0/FCLK_CLK0", - "axi_dma_0/m_axi_mm2s_aclk", - "axi_dma_0/m_axi_s2mm_aclk", - "axi_dma_0/s_axi_lite_aclk", "axi_gpio_0/s_axi_aclk", "axi_iic_0/s_axi_aclk", "axi_mem_intercon/ACLK", "axi_mem_intercon/M00_ACLK", "axi_mem_intercon/S00_ACLK", "axi_mem_intercon/S01_ACLK", - "d_axi_i2s_audio_0/AXI_L_aclk", - "d_axi_i2s_audio_0/CLK_100MHZ_I", - "d_axi_i2s_audio_0/M_AXIS_S2MM_ACLK", - "d_axi_i2s_audio_0/S_AXIS_MM2S_ACLK", - "hier_1/s_axi_aclk", "processing_system7_0/M_AXI_GP0_ACLK", "processing_system7_0/S_AXI_HP0_ACLK", "processing_system7_0_axi_periph/ACLK", @@ -4783,12 +4415,6 @@ "processing_system7_0_axi_periph/M07_ACLK" ] }, - "processing_system7_0_FCLK_CLK1": { - "ports": [ - "processing_system7_0/FCLK_CLK1", - "hier_1/PixelClk" - ] - }, "processing_system7_0_FCLK_CLK2": { "ports": [ "processing_system7_0/FCLK_CLK2", @@ -4798,7 +4424,6 @@ "processing_system7_0_FCLK_RESET0_N": { "ports": [ "processing_system7_0/FCLK_RESET0_N", - "hier_1/ext_reset_in", "rst_processing_system7_0_100M/ext_reset_in" ] }, @@ -4812,16 +4437,11 @@ "rst_processing_system7_0_100M_peripheral_aresetn": { "ports": [ "rst_processing_system7_0_100M/peripheral_aresetn", - "axi_dma_0/axi_resetn", "axi_gpio_0/s_axi_aresetn", "axi_iic_0/s_axi_aresetn", "axi_mem_intercon/M00_ARESETN", "axi_mem_intercon/S00_ARESETN", "axi_mem_intercon/S01_ARESETN", - "d_axi_i2s_audio_0/AXI_L_aresetn", - "d_axi_i2s_audio_0/M_AXIS_S2MM_ARESETN", - "d_axi_i2s_audio_0/S_AXIS_MM2S_ARESETN", - "hier_1/s_axi_aresetn", "processing_system7_0_axi_periph/M00_ARESETN", "processing_system7_0_axi_periph/M01_ARESETN", "processing_system7_0_axi_periph/M02_ARESETN", @@ -4853,37 +4473,10 @@ } }, "addressing": { - "/axi_dma_0": { - "address_spaces": { - "Data_MM2S": { - "segments": { - "SEG_processing_system7_0_HP0_DDR_LOWOCM": { - "address_block": "/processing_system7_0/S_AXI_HP0/HP0_DDR_LOWOCM", - "offset": "0x00000000", - "range": "512M" - } - } - }, - "Data_S2MM": { - "segments": { - "SEG_processing_system7_0_HP0_DDR_LOWOCM": { - "address_block": "/processing_system7_0/S_AXI_HP0/HP0_DDR_LOWOCM", - "offset": "0x00000000", - "range": "512M" - } - } - } - } - }, "/processing_system7_0": { "address_spaces": { "Data": { "segments": { - "SEG_axi_dma_0_Reg": { - "address_block": "/axi_dma_0/S_AXI_LITE/Reg", - "offset": "0x40400000", - "range": "64K" - }, "SEG_axi_gpio_0_Reg": { "address_block": "/axi_gpio_0/S_AXI/Reg", "offset": "0x41200000", @@ -4893,35 +4486,6 @@ "address_block": "/axi_iic_0/S_AXI/Reg", "offset": "0x41600000", "range": "64K" - }, - "SEG_axi_iic_1_Reg": { - "address_block": "/hier_1/axi_iic_1/S_AXI/Reg", - "offset": "0x41610000", - "range": "64K" - }, - "SEG_d_axi_i2s_audio_0_AXI_L_reg": { - "address_block": "/d_axi_i2s_audio_0/AXI_L/AXI_L_reg", - "offset": "0x43C00000", - "range": "64K", - "offset_base_param": "C_AXI_L_BASEADDR", - "offset_high_param": "C_AXI_L_HIGHADDR" - }, - "SEG_v_tc_0_Reg": { - "address_block": "/hier_1/v_tc_1/ctrl/Reg", - "offset": "0x43C20000", - "range": "64K" - }, - "SEG_v_tc_0_Reg_1": { - "address_block": "/hier_1/v_tc_0/ctrl/Reg", - "offset": "0x43C30000", - "range": "64K" - }, - "SEG_v_tpg_0_Reg": { - "address_block": "/hier_1/v_tpg_0/s_axi_CTRL/Reg", - "offset": "0x43C10000", - "range": "64K", - "offset_base_param": "C_S_AXI_CTRL_BASEADDR", - "offset_high_param": "C_S_AXI_CTRL_HIGHADDR" } } } diff --git a/hdmi-thru/hdmi-thru.vitis/hdmi-thru.files/src/helloworld.c b/hdmi-thru/hdmi-thru.vitis/hdmi-thru.files/src/helloworld.c index 82b0040..b4623c3 100644 --- a/hdmi-thru/hdmi-thru.vitis/hdmi-thru.files/src/helloworld.c +++ b/hdmi-thru/hdmi-thru.vitis/hdmi-thru.files/src/helloworld.c @@ -1,20 +1,20 @@ #include -//#include "platform.h" #include "xil_printf.h" -#include "xv_tpg.h" -#include "xvtc.h" +//#include "xv_tpg.h" +//#include "xvtc.h" int main() { //init_platform(); int Status; - XV_tpg tpg_inst; // Instance of the TPG core - XVtc VtcInst; // Instance of the VTC core + //XV_tpg tpg_inst; // Instance of the TPG core + //XVtc VtcInst; // Instance of the VTC core print("--- hdmi-in-test ---\n\r"); //--( TPG Initialization + /* print("TPG Initialization\n\r"); Status = XV_tpg_Initialize(&tpg_inst, XPAR_XV_TPG_0_DEVICE_ID); if(Status!= XST_SUCCESS) @@ -37,9 +37,11 @@ int main() XV_tpg_EnableAutoRestart(&tpg_inst); XV_tpg_Start(&tpg_inst); xil_printf("TPG started!\r\n"); + */ //--) //--( VTC Initialization + /* print("VTC Initialization\n\r"); XVtc_Config *Config; XVtc_Timing ti; @@ -74,6 +76,7 @@ int main() //Enable the vtc XVtc_Enable(&VtcInst); xil_printf("VTC enabled!\r\n"); + */ //--) xil_printf("\r\nInstructions:\r\n"); diff --git a/hdmi-thru/hdmi-thru.xpr b/hdmi-thru/hdmi-thru.xpr index c0cab44..873da4d 100644 --- a/hdmi-thru/hdmi-thru.xpr +++ b/hdmi-thru/hdmi-thru.xpr @@ -58,20 +58,20 @@