From 4dbdde85b69ed66a195981f91831f422d1c33042 Mon Sep 17 00:00:00 2001 From: neyko3 Date: Mon, 15 Apr 2024 18:33:16 +0900 Subject: [PATCH] first commit --- .../impl_1/design_1_wrapper.bit | Bin 0 -> 4045676 bytes .../sources_1/bd/design_1/design_1.bd | 2120 +++++++++++++++ .../sources_1/bd/design_1/design_1.bda | 61 + .../design_1_auto_pc_0/design_1_auto_pc_0.xci | 260 ++ .../design_1_axi_gpio_0_0.xci | 166 ++ .../design_1_processing_system7_0_0.xci | 2361 +++++++++++++++++ .../design_1_ps7_0_axi_periph_0.xci | 360 +++ .../design_1_rst_ps7_0_100M_0.xci | 101 + .../sources_1/bd/design_1/ui/bd_1f5defd0.ui | 30 + ARM_Blinki/ARM_Blinki.vitis/Blinki/Blinki.prj | 11 + .../ARM_Blinki.vitis/Blinki/Debug/Blinki.elf | Bin 0 -> 224468 bytes .../ARM_Blinki.vitis/Blinki/src/helloworld.c | 48 + .../Blinki_system/Blinki_system.sprj | 19 + ARM_Blinki/ARM_Blinki.xpr | 345 +++ ARM_Blinki/design_1_wrapper.xsa | Bin 0 -> 1546572 bytes 15 files changed, 5882 insertions(+) create mode 100644 ARM_Blinki/ARM_Blinki.runs/impl_1/design_1_wrapper.bit create mode 100644 ARM_Blinki/ARM_Blinki.srcs/sources_1/bd/design_1/design_1.bd create mode 100644 ARM_Blinki/ARM_Blinki.srcs/sources_1/bd/design_1/design_1.bda create mode 100644 ARM_Blinki/ARM_Blinki.srcs/sources_1/bd/design_1/ip/design_1_auto_pc_0/design_1_auto_pc_0.xci create mode 100644 ARM_Blinki/ARM_Blinki.srcs/sources_1/bd/design_1/ip/design_1_axi_gpio_0_0/design_1_axi_gpio_0_0.xci create mode 100644 ARM_Blinki/ARM_Blinki.srcs/sources_1/bd/design_1/ip/design_1_processing_system7_0_0/design_1_processing_system7_0_0.xci create mode 100644 ARM_Blinki/ARM_Blinki.srcs/sources_1/bd/design_1/ip/design_1_ps7_0_axi_periph_0/design_1_ps7_0_axi_periph_0.xci create mode 100644 ARM_Blinki/ARM_Blinki.srcs/sources_1/bd/design_1/ip/design_1_rst_ps7_0_100M_0/design_1_rst_ps7_0_100M_0.xci create mode 100644 ARM_Blinki/ARM_Blinki.srcs/sources_1/bd/design_1/ui/bd_1f5defd0.ui create mode 100644 ARM_Blinki/ARM_Blinki.vitis/Blinki/Blinki.prj create mode 100755 ARM_Blinki/ARM_Blinki.vitis/Blinki/Debug/Blinki.elf create mode 100644 ARM_Blinki/ARM_Blinki.vitis/Blinki/src/helloworld.c create mode 100644 ARM_Blinki/ARM_Blinki.vitis/Blinki_system/Blinki_system.sprj create mode 100644 ARM_Blinki/ARM_Blinki.xpr create mode 100644 ARM_Blinki/design_1_wrapper.xsa diff --git a/ARM_Blinki/ARM_Blinki.runs/impl_1/design_1_wrapper.bit b/ARM_Blinki/ARM_Blinki.runs/impl_1/design_1_wrapper.bit new file mode 100644 index 0000000000000000000000000000000000000000..1873efa870cfd1cfc958f9d3cb7e8ddb3fdf36ab GIT binary patch literal 4045676 zcmeF)4VWZXec$_2)itBq)@Y|^XCziHNM|P-WrPWgGy)4_v$gACwa5fUmIec{*%1*K z1CGUuEZMP9%`j4i6%Se25;pdYykIB7dvB0)FZPpI39P}_4@q z1Bx%$(cItvR98<=&s)##%x+ifduF;$)v0q%o%)=vm+r3Wc6)}OE&iN~?r=BU`Hm0# z*pL3r?=SHI~U@BhG${lwq=z8fZQxc+4~ zxVO8Z@BZn@8z%q8dw=xC$w~J&+{K!4pvAjia z&OI?Q?xxFZr#~_KPOrqt*hh*KyCQaZh{L>M{306<)A3x9C-PD34*iG8=uC4uk81C~ z>*ptn{CkSBHZO@L-6_Qbiko?BE|e+j+$f%OzNovXGb-xL+|2NM?{x$O5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I~?; z1>&@5*%tBO7V}+mez*C`{AFC0E8{Y~jLY;gF4J4FyZQ62@pEiTSGLTDBn$~9?J7<54Gi-nv!}Kjv;})?y*I*ELY7h z@|N>W*7B{CPd0xck`(hpu~lcwt#Yf%E4#u#80s}n5f_o=TQhAbp)HBMAd0k_A#OF# z3Rp3v+I(m8%ld6z8JxS~rgFTD)g>A&+ES)%C*G>Ox+Ju@wGORT+eox(YVE|z{I#}g zbFZJ$){X0DIHy#tTnJ^{Sy`E0#+~z>yJWO8Epgg>&LM17KC!3wj)b$T=j=*tr@@7G zY@5TnVXOK3raFt&H>|5O=lauImEX9n>({qYYNxI3)5_4k@3XY#@u8gEn(j<5Gc?n~ zKD$ioHZG4BW!zb=OfTckV}bT>KVKXN!r>qsANJPwt{gv#9jpw(H3oAw1$Fu{s$+i2uR=HJA>|%et{o{$1v*XEN5w}`Uq}wpe zcQ${$V}x_{i7=~eus7MeZr-*mn~rW(eo(EoHea~oNsEaQ1Q0*~0R#|0009ILKmY** z5V$}BSAKumh+Ly_uIvU0)K1!~v!7dv`<`(=8w#AB!C!bM$0oVs}*uH){_ z#%|iG(YJ0oyK*yq;U;9@TY3SC?)a4U1>J__+!XCvi3u6@0 zs@UrF+I(_VV!mpsS`)R8R;#_6v^MOp8o6@#B=*`&?2?CKFQ$D6 z+#dJI_>8Vm%XOU3H!IM)^FH3YP1|0}GF=K&gyMLTyQ}Tb?Te@uxX6v zZbA)R=;6k0*0j<8wAtKB&3o9{?Q?D+9@lks>W{2y-&Ig*kAAKkt9pE?R=~by<#LxN zueHm>6>>Kp;))Gw6>IN?&5ieKe|3wtjZ9g5W(SpuhS7gs(T1;ScI1B7xs%S%&uiPr zE4h6%*QxP^H7i%ugk!oQ-5nWp`m`}~Q5L?oppsil?V74~!J2kQ(ZIfjebk#|@!*8h zPPvjjq7``MnsuvaLTX>vmCWR7epUOTDL*>b9I1RX=G@qf-WndO7jbo0s1aAU?Wd_FcpD$p!8cUvra@`$FPxOYe#r|IS{W zOkUt7o#o>D=l!lbmgXn-e|Bu_j>V9 zbR%OkcI9;SR`xA@^LhMZ#kF0z;t&+48p(^PDh(Uf?mh{=Kh~pB@0;J#>W>cTx3$Q^ z3RV2WcwL{i=j;8}GptW933xT85qoEGmBVON-xFGkt_xS3`XijQ=o>(_57YaQRs3js z^na{fxyq&-YfQWSPCuU6Sa9BJHS*@FRO^?6YS%TJ-5-%Qj!b{WwGmZ4&9D7*e{{x1 zYhfRwSWstGCcNn1R;H<2G}PPmT)NQC7hhPQ++KGFRWUSfsbZ_wZ*wEJ^zie`OCd+= z#}KM@p;zOQ(E5HESTE=>qHRS#Keuv>uhzcnqKo^bJ9JmP=#$8YUMWtAz0*|XLgP!7 zYw`vS_Nl9T-SC^mbIr}-piaNaWBdk%dNO&m*z@*e+jgK)@eb5+-m4gW`n)f4mlk+` z@$)El(wnXWQwQA^2pXGyzSYhQi8aJX4chxgDCak3ak3waff@$cT%Eb_OC26UufzQ4MU&bvkqt?8y}CiGFC zJVT%K+;i|5_FX;KCq;uU&eCu-caJu&i)PjC=ps|4vOIPw^Ob7wn#T6wXTNLKkpu(~ z*e!v4w<_MU-hn^fG7A|H=n;YJg0PEZ zD^bOJOlx0XwF*_9$gJ_1{?-P+HmQeGF6x`_d~PDA`UV-@6;$QWczKmuzi!*h14&2E z=(CiHgrtAI11AgQX%&wktDh{Rdsa_ZdMdZ$i7)P*TeBh++D`uJn#NZ>p<|zAt5dCQ z=b|@Oy$jmfpW3JIS@U=jhraP`v#T;X`b1S)+I2e@EN6B0RBP9(kAACs?aGnTGXmww zaL+Von+>VGYnugnKYL>Ptw=|f%7&53wtRzz>(CyKC>zYKK=SC%R-lpFYT}s7D}If{ z=ud2Gg{zP`uRPx|Nge#__2teYxFiF-tVKIxH;Xln+2(uUC^qx4CSbVPeIY8Csqq`mE7 zeDob_k}95Xhs&$=5!M=SuB%@|LIMH^Abs(h~ ztyQaipjz$SxV4+S8Cz5UAwb%5`s<0xxE|9e~P&=+l z?YrL4*7iz|&J?ZBD!me+@uSn#Ux&6eu=C)`p9?p9+Zr*700IagfB*srAb;F3Ge*DX918bT(Z{@3d*Wa3sYab$V}9)Hictyy1Q0*~ z0R#{@PXblCG-Xqg(aB9`SAJ#{FPNzPi^{W?D-c!vJ3Y!3aTWhQ%hq~mB-ORrn_S7= zp0%gPYe##!dE04R=#FeVC3-Y(q1<;ZfZ3X_c_5iFP@QNBy5{DO!RlCo( zQ*OL7p{mmt+Yg*oy*C2>=#i@S!Mg3f-Bs_~Ro1M?=xu9~s+!>KaMBOwUA5b*+6A5M zuDegg>4=+9dc{9UXBV>c{dzi@URV9?r1qYwZWo>H z9(kvG?)dS})JjedR61H_2K%H>8rSqopE&nq|9lqIH=RPC^j=re zC-h103G`Zv>X}*;4gSBE$7#hchP-8|*KE(WJ&$>(b*X*n+I+6!@7Oo~)!7@R5ew-&^Pa` zw9&U+HJrC4S7o%(SHJh`^$D=kzAJC;yV^RZDjlMB_RsMoOYN%qe82TWHT$CVv{`J8 z^}&d~fMLOo@YN2D%c|Y}mDcO{9*;G2Pmpynj zt9nnA?YB)NcP?>RqNFnw-htKn;JaVTUa@;me5_v4ZSuaASiO$mtLx7Sa( zfiz!#Zk19S72d{IJ0E$;!&zE?M>gj2F@2ka{wo{$=Jy%zsNeY3#M_?hgmZab;S;aX z)0KTTbu|2!vRi&_a;9;U9q7YdjUDqVG* zHeJyQ&HVGXedzfcfBL3>I(Fj3*vzM&zO_P4?`-N^XF^q{XJ=>K>@)ZE!FgoA+(+Y_ z`lNRH8=wF4sy?UM+T91=g;A`{?3>>77vFh)xexAW?4R%U&~~!?-2bFc+P1d~Kib&$ zk3u7AHartXt2wCMSj~2?ZS@^){@%5zRZOYfRK-@W*JkhD^u2lyQXivKJ&eAh>T6K8 zzhQk6jgOSm)r}iJUfs5@Zh0QIlT%fG@3FZ;wb!g(v+7P|p-)cFR(B1`miNzfE9Dvy zBY*$`2q1s}0tg`R0vE8Wyeb+-7b@CtnvDPg2q1s}0tg_000Qd^r0XYFA+`45DzuU| zB7gt_2q1s}0tg_000IagfB*srAb| z;GOR>XOCuUGm22#Q3$ zf1+jc6<{s`2q1s}0tg_000IagfB*srAb)aKmdWB6!1OSs4<1GuE=M1B-I?oGaaW6oDXv=jj zbCmIx1rl~X(0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R&zk0={DFIr`r!)?k|37Xnqg zy|MOR`+}X7KmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I~@x1x{9ev!HTjyNkmfma^twJCO_}*}ML7xlvMi*e{gF1bqu z2QJ;EihHu!jSeLnrC*qph_VZ_T$YM}1bXeQ#tl1V?Dh?3F#`bv5J2F<3wY-?I=|W| z{lYZ*1#~XFQJ-v-eqlP~g|*a8?AcCUSWgAk7JNH5*j7p`5egzq}%h08eh z;}>q(=Y7eWyjdTg_r`3zz>#-vJg?8@WE-zfZ@m@v0_u~EUNW}6Vr}C-JHK#QLOHWs1Q0*~fqoIlGN+3Vrq`~{v>V9Wz}oZ+mNKx(>!=IX zsNGrcDHoq|<7?|4cX96G9(vK3+_Sckq#%F*0tg_000IagfB*srAbM8w!!?){BExKG+956{QBu`i*n`s z^H)B4pj>8We70?f{2jrjEWc5_vb-$hcdj$`AwBY)=^IUl>}v)qxwCQ!mZV2B(nNd6 zniH10Ic`x_OgB@?IW|KN3oG+8<=r@c$h7W6owaSI={fww^s&a58sVX_#ObGnSP zwtT)dzB1NII)5Xp79P8O_iwf6RRy-nZ1c0WOulWr%{U3kGcIwrhp}u`wp9~J<_@n) zDDxI|BwAeJ1{z6YRi;fQy3V*s9p^G@%jIVS7q8TxuZ(s#wtj&v7rIh;wlXSN7ana% z&DqJGwzOijIj1dsZA#nBN{!|N|7KU(8#QZP-9KTz&Aj7@w)9r$T=+e$IU9_07T6%$mdWjgmf5VQ^=D(v zhfc7jQ#+lCTtzWslV%Z%$h7 z=D0<QVf;MiSgMXH9e0 z6x!DatVUY&O)=lOBcab4DiVq(2a<+QqY;%K4;^6nGaFb2b?$BlzjF)Nswhs^{BSE60wHtXj^F z*S>y**Zifm={wVoRxMt~2R%B&g!P5_`{u*BeHycVDE4JpF{J~{kuVlny0=jq*rP4P zZR0ySnyWT@>{ReuSCm||b)K`zv1ZvrE7f?tuaX*Z}_)0!?r9_!pe6TbP#6uZa<&0$$IByBM$ z7P3XA)@&W)eRC~ZY2A*Lo@Hz;-fZcY99&y-v6gGoyHCkGvW6OU@?yzp=IY_ah@H#0 z)R$Q`X5(JxWtOzi@Lp3BVSCX2D^5S@uTl?cmRC88Tj9>Fo_VdDl^D7D|Jto)cJ}O= zR}cqB%0>GyQVYW~?swI7J{r?OKTxNg&zhOGy2~z$AujF_JyxJ2isFuk6-rM z)zjxTRc@VDi;Wl4xUwAZd4njE1O>8hnWju8243YG}n6XZRP4; zh*-S&>gATm0lv^z2Bn5xvxMSd!=g)bjrCWE{>6n|pGZ%91)YS2P)=Hs?VT(Xvzl|t z#3H>o1cj4@khMPs*Idx;q}{ODD@Bs^K?X64T-(zj{o4wvw&BMM&ynTjbb$ z_W3HW^W=1+mYi)H%5odWNmyj8AZ6{ALaecl*k)P|7Z2L7Ee@&W+%is*v9Y8G&A6N{ z;<_4?Bmx1r;BvUjXl_cd|TWN)%J*o5uGy1_<-+nf#~qP7`>I<2xev1z3w%2pd3 zOsv}$Y~N+63re?e@6km$4?#V-r?Sp3nPY z)?*XXxyJHq)45#9`L+3+CR6v=Da&W^oz2)c(=FbUJvQN&te(eC`Eq^{PdKGJGqPZ@ z(wpm=S&};whQ%Or_SY7|#2L$@8CjSL16PEO+|7zZYBT>C6+F|-?W~g4csXKeO4T4M zBAc@5FbI*279k56ZDupSyX3#ysHIq12+gRN2&v5+MYJ+I^JSi9Y&K`Ij>MJ8Gv#Qm zt3C7PL>6MDgt*YPp*_mbKAx3{MI5i5+?}axcdFf}*4j_`m08)!sK#vnxmn0GGbuZA zC8H&;2^-dwt;yPLLeU!?eUh&(?VbAC{=#l&^}ODl8vB!VFCx@htQ%IRXY1x^CF;hW z@YhOc8}ZHYb(h|j@4}B}=e-GIkF_n*x5jPEyN)MeNNZ(jJ@srGutzxzqaB0QHF?do zhlP_x)SOA7mMd*gJl$TYAq9iKzKW17%N7@rG0oam;y@>=%X za)*KzkE@h2whl5r)NgaT3VAD+c4~Q;T1hhd_Ng-O8J8b+^U6PODeXDd%WrAQqd{Io zHWjIS6cXL4u#%&h-(7L7irJq+F~~w>(?!T_M#xb_nr=Qx!&rf{#}bQ>xI`CSC5IC$ zG&0}N%DAMQnK`Hb5VB0Ek;}tLzZzT2uqoXUpXrokL>We0blB$E!@^-zI;QH9hMr1A zAq~s9MV_4>=FKWQmXmFBNv&tm7Uj}QTN#Qa9&S$SnYclaS98vKd2F*g<=*VPdnj1t zMc$8SqLJrGoX0A@;4|k2-L$iQN%O(zSnMr5n{l6VSI9k0_NTdSM9s!@J-7ZA_cfx_ zd9ThsrV%&i(iyARZYxsA+V)a5y(Xv^*Q9luP*65X(K6n!c-}E5FB%tjByT)Vw6LggQR14^2N8v_piWY3eB;T0VHWaBl6vU=a*cGPJJjvXt-0W?()9ewwO4LNA zN1o;d%h@ZdmTiV}SXQ>pMd_NmySH6r(*mjJ%Fa>7hTWr>IXCGhHEau+a`{*}^CB^c z@U7;;cuYdH=?oGD~`_R7!o!%yJ=U}GN>xaBEIk#s= zTj+A3d08a#<=E!&*!QfRzk125=C5vsO|4FGF4vzn0}Vo0a*5C5BrXnjN{Zc>R}|iv zv2~2qi6=uLjf`t!N%Qz6saw!2^-+>Lcj>}G#rw1%Z6Ds*BAi>wV?DIRXpeSg!|Mi> zamRz&(F}PxvUz-F^Vw_XUisY}X?e%=+DQZK?6%f)deSA%N3k2%6JA?MF6j_#zh$AA z){5D^p(7d$=)EjmoMpicM1CNh3~SxUBa5S3qs(P)rdHNtH6(5>x4JAG)L|-&*bMty zH=N7y#460ge@E4!ogHbK%vL9rlSb5HTAih1>YBgaN+=4H#mcxfJB+Mu#-P$xQkr`m zrN!EVHHvfD_*5tt*S$7~JkMj*>-C?yrPSATW~`@rxJ=8(>goJcddhO?%6Y~5TkuMp zf2lg~S5;6casH)oJDj9GR9tLs8+1fi@=gqLt0Z;{daTdV-9l>JWpjI9(V0;+ok`o8 zmU7+;Ce((G-<=^j?{L;~+uH0(v!K|(wqzdnF$eZ;giW4`Z=xkx^In&Th z8opWM7SX=1Y>{F6vuC22P{>YhZ9VccZokf1GaZ;SJ>l~)leCZ~)(4GfVLs1YlsA^5 z@j>N(gFBLB+3T}7pVDiQYu#nas^hp08V52{891ZYKPsqxRyT(ip(m}nT?$E@PHM4s zLu`Jwi%@y3cqm=$O*XCQ%AeSD_Swu#m%MEW@mQwU<~jts*C(nb9rL=^Aa#*3j`(=M z+uqEcfn$sF{$eq^_+jnx4m-E#Zgq2Rz||kl(?#`azE9?n`>=OuKGC=#A9q6y^-!+T zN{^Sh06AGAi&IqL$K?swjb z&bZ7amqkfqTHkJyrIDMN*ZURGQ8yE1+M*`ibS=C*w5PmIlZ#bP6eSbRWoeS_8@k>0 zZAwvBX7;rc7IHl_-R-X0ifl9ZkbiEQrP{JbMN8XKwivzW$JS)Xw8j>SVHn+bur`xd zW_!fi+eoXiCvp!j=>@#D2qkNGlW701XS}_^#*%aQa^Nub+#Iq*|58IhMZ?PP%A>nw5(Wz?FD;YZm-0ZUo7+M7*vjS zA7_H?2x0e>n3Pv*F4uG1d%YxA_cYI1?Uja(4RJngU)2@G*}){a?Enr{*={ z_vMGJh6C>H$CA2g%QT^r<@okkwT`%bii!}$nMUlfd%;>9opbehmo;dQb=G11WvprY zQoe9XM@Z#9llwSVtjCPSQSRoy;)*b!NJXPWU*K_-pKg7D>U?IYO4s93^_gC2WX&SY z$a?!cggh*x81C-kYR5b4?|P{lXH3Egl~o+P>?tk&u+MJ;)E>-&Ub-Z2wq2ciE%Gkb zseq1aNur%wcy9X8oinjlH|4Gor*$`>97--i*f*%hb}J-t{h%G=wFbGCvHb<u$UCP5`bJ;QQAI)oQaN7q`E8krR``wepy9N37yCji9cMB?W#s8oj)B79Y+1i@c`feJE z*=ri9}Bk>2*YRL7ae{0%&E!{2Pw(;G{d%VNO+K6^(ZI#^TE3twS+2OT=1=&gSD%a*bx6_k&g#)MNsYzk z78fRWe4snWZyZZcHuNGYclq}FUN@QP1jn|M+}gIrYi)z~{c4$3OOnthE89t4&RRdV zW#nt0IExb}KD(G+_Rz&2{9u}1HaPLQ2cG}h(dj+ke)v7y z{>?wR_>uWNKlJ-Q`zz1?{B=M3Q$O>L2TwdSvvliM4;}yN>)!X?f&X=OqW;Q<@57f6!)Wve9iH%9l!p&-uF-c&;L^Y)-R-&jr_rP)oV{L%>MqxkKX+&H~rtQ z`UkK6rE4D;y8Tu5yi*Huckx3H*WE?ifBtT^Y8Q6i)!)Ce{1N*k;@rcsTUI5@H^lBc z&J7Q{SIvHLc*?z1sq6j?yD9zGX37P>W%hS}@8`dG`t(ZfsZihW)bOmEa<>hQI8`?^ zUFNUN4A}b~eH8W{Sy`E9cDhfrpC2Axwmx~M8(uM9JvKEf?BQ>=`eb%Ce$~{}dVS)i zmZyeqJ2bL9JUn}-)hFMa8F*r49r|Hx-+x`>jvDv7$e+qkS?p z<(9|CAOAbrcTEjXEpNQ}^Bpld0vQR-e?4 zKfbH`uER_FmUNzXWLmF{d}5zvZ#P(ZdyAr>)30vAWq-dd*JRuHmUV2@#ygf8H|Y%3 zUia7w#N^hceZQFa#Nws;uMHh(*b5>5yUvd~E$<77uN86en(~ZJlWjV4cP#DuM^;Zc zzle_{MS6U+xsLnpbd4L%&Pwg*BIpB#WBOD&zSrefBpt67x+rd0R6by&7H=Ic`szxa z`Oqita(Q?z2z1jrZ2o#}eBbXb-87M0`|&$mt}Jfs16lq@`xAFnBWrvqNyqhEDPDfv zvA8t3|AWcHcP!0M?*HuAn9iZXa`hgHb!J2L+`IpSs%LTO`iW#E-}}Baf3NairdN|! zsQ&nUOY=9a*N&C-I=8XuL(@+=9m>w3mZ@!)9o%OAEwhgtI;4Hz)NGkiM~l#=>8A$1 zIXkRVrY^$3fOA%Ed3ohnUKSg8;>yz+KNq92s;=UD4&9?ZnH}yb^SYUISlw1=?A=!O zE;2t8`b5X{W|-2cmyO=@)N@_;$#?6@4IP7YUa)d3FY9~!i7Qp#Y^%@9^xcZ5ho-f+ z-6rd*#%#MkcYZq=8qzs++jiob+evMprd8|8GHfR*x4gXaI;339fsre1^!#lnL-(jp zw(VLrSMhes?!tBw`b4isnnBx%d-mC7cTerP+VYf6vbqSxc4Dt-R?e@=VvmnpsXETz zc2X|)Y~yfH);lU?ymdXt{?^ucwwI%R^jLVOt)8iz4%hK5Hlj1ABWjhV>)&s&?nl)9 ziXm2{bXVqY#!)k#uV=bI+{%^Z%lNQXQ|YQLl((oCLvcT(?j0-|mv7z1NFQD7YR zcp?h=b&-5VGh)gOITphS7}%AI1`2%WH!>QKjd#* z{KsKB%m}kHo2|bhC1md?Q)Ez{@UM*Fua#f9oz6{HLT>qr%o=Ju3xAf@5whlV=5mdB zH`koDC~g}sM#~hhoaZlO+g_BdZV@cD$EHDF`uF!X&2SbowHw+_Xz#w}cze?7saUNXv}QNa$7AOrEDuw$&jUpyfXG? z@Y`%wp8Rh2CDyofS9J3>m3ttotG$10I=4Z42*>jxp|dfa)9uA}Y}}t+O}6)HYx-7| z*mZ|H913OM618Kw56fu!+eDF&muF1j3R5M!*y)l98`0NJq!UrY`7~2vSR)k=wdOW| z+y-aU5xEu2o2?5ImauWiZPr=l*T-(5c(Jrh71=vS#h)fZ$ZgoHzsNr_8m7aHP%^jK z`YTdG_Kq?|2IUF=${7A~mnokfi-%pLPs^Py`q4GOxmutPXl?v(a?)jazSzi)c_HeeMe>MA=~ND{51dd(KG zd%>@&diB(*SsP4*RoY$p5-9y`xo;gQKrty+*~8dQ+LF>lZo(j z<*i+3GV{sHMcHT^j`zh!au;8IcZaJcdELRKU^k!GCZl@@LYSOMp?s$v+yjyhp7j&r5(_OoL?avxI7Q_?Y z>tuGqr}4PT_%!$S-1q5{T?f2BP2*2_Rc1#Wg@q+mn_JzfYSMNzp(BX$Xx5_Fz$Kw) zZLGIL9=4FJ+j#jax6adb4%_P+q`2lkteujc=V^S<=}KWyyI40RPWbw`SB88+(|Qk4 z`>s3V`ogs*)k!{4u2YSFxaeY^x_z~Uy(ioQ|2Rz#BtA`2KQTA)T7Phli%z&N9bE7W zxvuGs-Glzzp1WPI+x9c&MG>z^qe)aub}>xf-bJSEWf=-PnVE2+bVU2lc>mJL1^4#f z(KWt0(~5LMV|p^aHOZRM={`mGr+PFM! z=%f1R`*VHo$A3;6*ZnlRmy5{n~)?VP8$8!lys1fh| zx?4-Q&v z`rub5!%p`FHL|Segh>JG)XBL#Q%B`4*AXL_JKfwBmZpQxn~y9FF1hQRw**@`duWHK z{g(aP?Z7=Aw1RfF)qS{Br#?FyPEF`(o;m-@$=`AA`aL?xyL{mo=r_Zd{S?;=%wI<({4`PmE?29Mx|R;)X@vdqIw$crI~-Jid7&) zI)72fvf{C2i?4jmmRYu$VSn^>zZ4zRTPkC*x@S;d!!;2ddHdL$>ao}Gx-YBPlIOy! z3p@aL4+i2aM2ey&9tE@{=a;oN{%*4Ev&_Ms{pCagH z-}*7VHVh%xaNc?Ct-h))C0W$&OWk5GIj1$}t5z^<2hKXQGgy)`ThznBeiKnoO6`bq zwKZ?9_+|~hs&&oJX1Thar^Uwadga)V%lwJ-_gsAC&~>lLer@Q78?UoF$l^>DMac)# ztg%PigU-y7G|fNk##Br_Sif{o?;7WSDb|;GYt~?V`&d1D=$41=Ad>$htxTp&*&e+? zKXy3;symn;0-X{%x8;tFsyAOMJwokO+-*{2BH1nO`bdzK5!0YcEciH!(ar~eE zzMaLMO60mfa&I5EcZ_vNu&p{vPk6oS_&X2jenxFGdRErS+x# zd-mwFw_~9*?4uUH_n`J>i|V2HA=RLA+gW9mIG;iH-i_%8^tQ2`?BCgIQ*-B@zwi0a z{m6Iz=e?i*-rsx0hwl5EKmMWT|H<1vw7h)LhaY(0Q`33=^e;d1t#`ls;~&{`@yXAo z>BA@LXC6H9!LRO_`GZI5CoX;9BO~)Co_NgD!_U9x4fnn5y61oT8!vm?xBv9MeaYabrel{~{@R~>_m5t&M{kj+ zPqgp)>Vqdf`{C={@2F3HbMPaz`A2{BmAAd(ou7W)RgYfrq026wdHVRH|NIvpeBw90 zz2{i+_Be5eU+fOPeeXek{foc$vG4VlJ*0h?7TUvovVGs-Tee?j^Afrj=y_d%ef*w3 zJ2W*kbZBbeyLFxYY<)_l8dh?;HePpALnE`pGy06vl3O8M1N_``|8;r!o6EB!%gdTR zeR_OcyE8ZSN?kr2?)B15jp4?|fl2pQo?LdV&q-UgRDR@|_wV#7=q9S)9&p1~PJMIO zedaTtf8ufV$y2k(bzfES{ekz?#*Z&g9U5^{)+gd!387Ea6{G5t<&{1;eag*_kL%Wl zqEB>Fqxxm}xyjS&lhb|JCp&*_>eSSe%jy$dxc&I)<iZ`(XO4>b~2%o+FDlii7I{W&~l${C(#`%Pt%zIJ$+kY3e(E0EcRkbGcZF@Ex#TeZ?6aXy+{hd4-l%4uEO+d?beqk-_b5jtw>5@MHe^R~;ML_l7&& z*f07dzARddm-P92qPFY)MR!!M~RxgZ+MHp{_vVB=jzk>!PjEqdVP_cew}NYIVNP<@0O~J?oyR+x>R;+%r6U`pISW$?z)<4cNVQ>J!}% zuO88D^eP?By>y6oBTo$+s>1zR&mG!spVUt6bf0|W^zc+IdhWR)oqN^m>JyzeO}%nv zZdqRgu{^9ZU5%{jlXtx1i#pMYo;Y6g$<^u;_vD{Fx%`Sl&naj4!ULVk={Dd{wya_K znWvtsM4t>C3eUf7Fz?;$x|MCzvywH^j;R(63=G$6weRR#aJ285n3|fI(U(Q2PjpVE z4W@nH^_JPUe6RY%jU2aog|+W8b@j=!ub6x;?7Os|Q=hz3ePVZ(>Ly~v`i_ccYR_rk zWv?syEsUJm={2fnsxex*|1drh4#D~zMa6m#q&_ob?}Xgn%%^Glq{~Ik^xv`HZPZzc z@+(nSdZm|THs5vD+q%Cov(oN<%hMm>njJsJKjsUW^1j1)KNk{oUt(?}kDZ#&9%}`*?E+Hw=v9*KYDHMHCxjY%Ae;e_cIptYFe|y=QS4ZTFAStxtTHR zHh(i;TmFmxdY5I}%5+(xGn>_5k22)VkuokO&oooZC@V)cj=g5(8_%xXoS`?Lw{=>s6Dd_1i?8SZ`K@+(dANf_zuC_8$U&VWX&Xtd^z6Cmq&J} z$dzitxH;5hSx+;+&zd7W6Xn}%Nn8H(t}e^AmD#jJ<}$m2)NWwV*-)Y@P_mF;lSvZB zLQ15o9U69pgD!@!gzy6?cC4}TMVV+ozvUFps1ECA8mE=tid!A_$ila!yDZe1g}3;W z+Jm7uzHH|xbIHzLFI<}FiU2q0-CUeoN}S2o3tN{)%vHz>8{I=jk#> z-pu!drtUSdU*&Kqd)fzbjVahUfPM>0 zzt4EPMm2F*w*WO7_KS^~vfV$RQsE9@Z54-=U*`?Em2=6S5ZOL3*OVTUP9aonBRd_k zovv`p`s_#Db~t#e&wD+!b*-Zg6uBlf;qxU$aqeS{$TPNWN!fIDs{53s=5_l4!6l`} z-o3pj?Q=Jt=aw-pHoGvPlH z6FQFQHAbw*N#}JaIjD!WokMGwVj?-=~Sx}*0a0`B1-$_uQC;6T%`*=F(T{>v> z%;hd@Od8GAcgHkr?a7qZTfv8>`E4}nil5&`=FPL_VRr65tgC-5=t{X$y4OUTNTMl4 znF(s2(@1J;noGnjVy7QPrUXx`mAIwE2Q-G9!|eAr>`MM8kgis zlXkBCn~mD05)CH$ZB08l(~s0N+$r^{PJoj{oj2q1B#JDf_IA31>sYuQLY=IRj;+T- zr-rx>27AA~<0~a%y2=z*$vGT8NfnkB~=W{Su;2?f9A`NyBG{?F%0=_i5Fh z>W-6-DAxBAX!n!O)c0JQOxiD#Taapbw?(F1-N1xf8gsYg$%8H$`VDOp?w~ToF0nTT z?37u3s|4@zNbiMtZEC3|tZVuxT)p!r!*RiLCRYdOk?Y|eT70117}S}SUal)eTf$6< z*a&9>VW5(F#iE(|Ps;gv#iF2GZY+!}s;3rpW}Poxs}tiaU(x|Z1^cL9Mt1&qqOLH! zp*WMRAIdattdSiz?7Je0^ChL2vtiZ)w$hc|ctg#aBxPGum7kHIqHZ;Marn{ggktX=XeCoYcS!GRBb{xz4q z<;ov<<`oatADMT5d-n0m-v6?f{P0bG`IGe%U(F`wkN(2PzV<)9`surG{SUwV(*OQn zU;1zU<>wb(`mKML-1^5K9lLSx#O(+As83@3pt`R$3AoQn-4vGQGM}Y{krU8?V4+T>6$1RtbOQnpL@~F zYtrBUgYR;Wd}ZPffB(TN-v5%zF5mxscSrS0XAV65qK`fJTmSJ*2Y&cJ-@X6ZpM2}V z$sfA!#I+y1`=y8P``Bf#jP-)3kNV`2_wHzqgvxHe-J%aH-#s}!eb3bJ<3pbr`b_=H z=_RM*8Ql@*zOg*x+@IR71cuyGxA|di6UsyN`}3*X-Q;T}`~@CyG9qu}iL}|LmLYw0>Eptxsm3 zd`8zVonGma=KUGZJ-fC~p1gT#RiD@$6S~~k`ou2kwmw<@PUsVzKJ-u6@#D$z=V!GY z=y^SJ_vG@oo_qGmZxq|feJ^+J`11d|tUl5F(*wgl@sXdjd{fsyaoXbL@BH>}+r19u zb~3em&ppdGFSqxJeznm$T76;{+&BB=_}}~6pBNtQr#^}Pv3cxhNQyrBzz6Q0{MNT- z-BW)$^cj=9@8!!i>yu*N^^Jky`U#{w0=InPK?e`sT)qRrsy~m>#4;@U7dS|}}se1b+T=nZazbd(| zanMx`#r=$9io^YhcP!a`j_LHfCI_|e-=mIr;H`qxc&-i%`HNV;+i1VXX!ko7zuRbY z^>R|b^Ju^CX!kSfe#fiJ`x^BHlIeB&{l_9#KMY^|>YZMTANT?Ly*N86=q8@FzvDl$ z=Kh_{@8?+_Iquxe;hv?(b!zs+z{ukxPfgvt{Kn5e@%d!A{;#z^yk~0pZoP}M)2ncS z>l^x+oo;~j$+OQst2?sJtxslWpHQDHhdx>UfcoU|Cmw(1DIN8vA3y#1<>fE`>wo?7 zaoyrL*?)a<$*XpuPxLw>Y$st@ZY#%+Pu`RT=ut%$ahFAfif?POJ-+;`urzo%m%oA!ye6ZJ_g zY$r3?PDUPoV&IwDlQ-**N0%&r`O7miFCRZXZ0BnIw(ok~XF8miL;!&`0``MR=ON@S zKMzaki$%ol(zjpG6={E^r_bD7)>UiH&-19OrtC(h+Tw21+I5{#N6xd1S!#RC18Os1=IwyfwXg#5X6Kar63%cs(x*^>(IXI-?U8o{>R3Pt5P;760KCbGUl@4*KPEzUMg0MH{-TU8`N0+ z2)a7+U=U-2g5Z+$v#~-S2C|GTbG}PYmn@M)n=HmQQeNBKihZ?CSeouO4f+> zb0OzJ&CoT)WtqN+M-K1!YWQZ2y85IHb~rguPL#2?k<8`J{`IhJ${x0>)0M`D)lJQm zVxCRd8*i1KvVlUuSd6y~vvRySKM7q^4D=I0&AGnJ zRctbvYTGr2I~$AQZTVJ8RCH|r*{#gxrNZ1aa~5ovdJWowUm5p)!lovi*ProvUDFk2 z=V7S*RMy@NVK-LHX%79WseYIkXx;+4&2`xfqI@DpSy8D;3wGtE9q)@7Mfyw>`Ymgo z3M^V`I`KN|Al2k;a<1qV9cvm^S+RhbP)wW8jAiMo@T^L499bHga+hAdea+F)g@l~nnWvA^hc6}o*c+QGw~)9ESItZ_Z> z%i~FSqL15?UCGU0_mAj!;=O+LS0joQa(mc;UVmlg)Q&l=O(NE4v3dBg-PkMw0tg_0 z00IagfB*srAb^ zV-r5t_4BV&KGpl-V~WMC-#yXK2r9n3$fw^)@u7+`w&nC`i2g;7u2^_8e(Sa+TW6_U zj`)vyqsbi~a80(gs{Q(~e(Zv_RV_wG{@I$O-bmj95>*_1a+ez2d;;ek)h~2Rw@oT*`$5FUJGX)`-Sv7bA0T~rt zqUW=|U?tTTrbw{WOMZiAbnGU6F+MD9g<_K`taC$8PpQ0beVcclxi6j2x8C~LElpT~ z{tE+FZgZV-HBih+-v*M5#_MDDax$4&9XuNg!)U)YF#$iPX7P)?gm

pl$o>|y~v zJ_6W%07N1bfVYHb1(p>VB`AxPbch8ENtBve&k`T0D3K|i_PDas)@5Resv=PXh>@OX zonxx88|TEf5ZNtdI+5MxO9@knwwyHfVdRfGYT}Bn)3`lponuj|X}S8~>;KN~zVGk1 zZ+Bhe686%NmUQA@dW?NkJQiuYeG;+7 zTv{BVlhzr3k@8?Rm15%4KqbTBf4|LU8%D;}4<;;;8or#lT_kCHwa*`v70Z0@nh)owS^zALiEyM8=WC>;O0 zJ7`Q`C%IFCvG=fJCtrSoJWf5 zMX^t3+2wp9{<5<((IdZ_{aP%F5M@^_9DZU@EsDz&PJ~|Pze=y~b{hSsartuAIuYjS zZUnL4sW*E4wQQBKtO)h^N?40Gl;hd$DRs(}y1#y{)0&L_I)AAh@!9!P=j$hLZ?uj* z+Mb)ZvzxPOk5dcxTiiy=(jIvL`k~0)4QW*s3sa8{szI$Q zv767@=~}ng$3*X>f={HcfoUQ@*AA(7Q@2Hi#);^>;)lg{xTpA3V%9p?Ev_B&t&hY} zfk>0J4xNhx>lGS{N*sCr%!yXD8b2SbnwYfNNXnb#9WlxiMeiAs~-JjC4Ii-mD{a⪙Cnj2G#O(5U5kY$Oq1JWti9((O}M zC0mgzK32sW|Gnq3@2%CYN8)$H@@qd9WfbXBN2C(sP))q&*E?~5EEYt}x!%#{bkE$% zg&U%c+YAL#@5R3)Yr8h_D{+jg-ibl6V(_8*ovru))xM#X2IyUUOJ0(p=N`nrm$f_D z)YR2;^@%^1&3pMJ(67ny z{mX8-_r&4J7@;OQX4UtTJQY#iysGy}$W4f9e~bn|#v;ZvDhx{q#RLUcWkZ z=VO!SPfR?1Z2In-@4owim;d$oum6`XJo3)JGXKCAE2JJn!(aHopZU=r{q#S$W#Vs4-8naT=MCB8jsNMJr|y3F z8_&J^gMada-~Y(FK5*YRzHs;Z?>qE;AB{e{^F)l=ys{qUpFjNNy=uet?1NwHmc8o! z+bdRie{oOS*=H}r{kvOJF-ky$#XP6uTkZU>X9vz+=-;0>226>2aIYw&LbFp(Z@)1Q zZ^`3&_P>9tTlUuc9(ds4ho65wTcb}}%lc&g_u~f8^A9|~{d$)rM{@`F@7kL2O!nm$ zwy03_@#JI-Ff-rplf|6R%xAOnzc7`>ctUr~J^$?N{O_MX+l-q^FQ%y4)O371*mfv> zQQ9omO!m2NY?fx}^4Vw89_zW-PG0u1rTeZlOjEy4o_+q==)bD>$&0rAesby26Q2po zRiAg#cqYmC(!z5L=G)3H$2W}h2OxcpPr-K*2(zs`1O}!c-roKQC=*sT4-#$Pu|;^dv7Sj_m1TuJ^-9;RT^0)K_jb~_DA|>V9-Vvd!R$Ng4`p+A#r(1OOUonwp(5QwQO>DGc5^(( zD$@8VMTq593y1$?+bvq>?IgyziqAtYekz;2aN*+oJ06~mv9IFJ|4+r3Wbvk)!j(?( zwBn(5-)!WZot=4Wvzfa3LUD*-CbGRM4%IiaKe{(YhRCvK-hAcRXWo52#^{Q1v{K0r z&(`B{oyx3ON4aKeKeg@puEX%mGa=jUlkb|JfA-<2SNy`)v)0rf&S!u5CEay&$JtuB zz8CI$GI}lgWTx4iosB-3PamOYF2+Qdzxm3~HvV?%lbHI}H@kiEY|$rQd%miDa`=lY zjW(TMr%$eD7haoPoSM2=Y$p?Ed)vv>!wcKV+9jv$rYE504&tB5oPNsTq0n69c-A>*S+ev)Dn!WPmE3>bqJ~==0m%DxP z>7zxT#9r$8=i;m4eCJEE7w?~)eckiV7k%=j<)u|&?k)f0c3V-u)Xgz@Y59Tj$xgf# z*NUGBi^J)Yox|yQ;rheg{Kr?WZ*rzMH2LF`omdjbT8cOHS{z~Sh3<8Y-8cM{vX`#- z01)e|*E{``Re7HHd)sZv)pnQTiR-Bo7Q++m*p)0^f0#YdErP4&f2}MIhNbX$_o+&cCsQML5!y@hc6u|#`|Udym$cJlfF|@+p-5cB=J6OwI`J<( zE)RJ>s<)HM+e?>MXwMaAfnHhKw1H0NMQQ1AqJPqNh_4Rao1f?1Z-PY+Eehw6yq|e7 zXD3Ccl#AD&EG{hn)XlpY7kfGJ<(|fZXge)W7c-{66kDH3N%Dd-O{I`t?{N@jNr;Gr zkaBh6-;xmLgr9B2uPg1eq&RW46Q>a`Nl^O9(>wS*ev?M8;}fszEeur>sk(g--Vx@C z#}w0vsq~jRG(C6XIYJtnEBd56Q^b`2;nsh%>o(+zB`ldtk;QC19^;I#*nh3~h!pYd zh-=5cSQc|B#YCixr*6oLr*?L&H(#VUmlD+5-DLSEKUFMS%+pU=;^)bq-FD?eC{LO4 zf@m>&B29lq$m222Qcahl)Qi)F>z!h0iq9J9VIic8BO=tpH7_C;3Mu9m%Z%At{G|iM z@yVo}<&&Lmii$lRir-sz6Ba+VwL4iSN=ko)@k}05-D?)(s?gY4l4lBe@z{UJBXMtt zlTO4%qISFcs?WPgqTF7d&Ri@^%Rc#dJRD0`AI0(Hm`tHqXLqvfj}!mj?pm;vq7yIR z;zeIXrn5%tQFvV0Se!sw%fqd+dTnu5N;}2Ii4-w(J;(CCzYNQ9|V;>%qpk0VMyJRUdztP;zkoE?-#^7Xj>7uaP`kj)>s7Sb>pxb6+^6su9pDcDt{mkj7_^IrAfA(W@*Un{) zxUOd+?YZiW$FghJveu{jix-|3DiD{!M}~#?g^A)*bZ23^=}fkcMzUIKGJ3j_;rO<{ zGv`>Tuhq{VtjE49 z*44`LEd4M3;;9PZ#h==4EApaG4rbRH`D59^gLyyyp$|_?Odh}KT;Rq3Ec@(dfBF@- z-a0+?s^+0PZn^3BEuZ+}BR_rat*f__AHQkxZ^dWfn{R#JBex!W!^;nJ@4(jWs#MO; zPEOu_|GAlT?Om~{ym0Z%3-`VD{x{!w)6qK*P8>UtSFTSQ-=5mNozU%*EczsSEUO>N z`*|B5e%r)>`c2pSee$_~^3!LIotZw8`sDac$8Y|`7hgCt`Q4xXk$(FA^VORse?0o+ zmii;_yY=Pwzv5*p_g(i+PX6%hxlVCCWNerRzJBr5FMQu?XWwu>`sC(`W7(tq`y2HO zEdBH8JGR|~-r1}d=BIk+%XdpSa3G6wN^8aYbvI`AU&iwXnZJYDWT$M?p&Qv9k=?AaoArbr(fOYdlJFJa`L=uUQ@WB#sq z)4E*it*7@jG;L%R3Df^{yA65p(Ye$YS?~EuKmUVSK65gzH;nnhFkOEb({cE)`}|;W zo#KuCh|jP`c;CFWE(vSM*7G`zS)MPrek_P#u29 zb64>8JnNvd;wl)ZOR!6xZr+Crh@tSa|=vcP!gZn$3eZ%*1vw|DO5y zZk6uNlCBr7QaClYi`J~@lj5^)6xZ*Qbp2=Acg5w^MW1Z6?`k$LEbO~h^vV7G?WDVp zYR(`0S|?rD7~NR>h*`Nl*+q}YN`L?X0t5&UAV8qh0>_4SLQWhcTy~r=ov6AjV!2~0 z&BpS$H~R7g#ausQdhSoJk*u6itxpZU-t8R}S&Y2Z2;R7r-BRRqm@)koajAEDHxxeE zu3%?G^HOL|cb~`UvAwb~%OcVdt|e!J<;85J9;Tifzvgt+w^Xlo^iKR$D;)iULAIy8 zg~HOFXuZ(R+G$bokS2Pe-Je*tBw`mAETpKwMC-xvYea2HmC~vC%iraTrQN}9Qo2r@ zWL&Xu%;H()pGA@RJ(QW%3fY_yuO!hA`@A@B%-}AUEFK1_f}D4Xs2=(ri!_&*kMK7xwK3m zK!5-N0t5&UAV7cs0RjXF5FkKcdwhcN4NDqR&u;yWC9@-&T(T?c)nW5};K(Yw z=PN!F*94ANXmqN3=GK;^^Tt^G_FKGhY^lQgA<@)BjntY?5L5;y5+9lVUEni+g-TX|*)JP--z3Cl7VvrY@IKbov}z!Oy}||68gQj+Ji0oMB`LC-ps~>R z`9wcUEUZj8{;@r1%0_Rxd2fAv_Yg1sb)(~y$Mk)%zMx)2r!llrs2`|zV%bFA$zv{( z_BNiFsZuyTx@#R8s~q!HG2MuMpTrKVyKn17_jYKj3tFd~l0={W&>mEDE89tbwRwM{ zc<#;>+faI_cjwb%5f^ujE<%b=5qY}xZRNt5|7(Cv>W+PG>72adSfXFH z@baPJ&gwA|TD{)tygo9e&PYj87LHxH_pXe5f#sk z7(Qhpp9uU;Cr+d9#P$+{nzho<75)rdRV#RiTUeUE!~>F6IU>F;$Qk7 z;e5PHP1Um-Yw=T0JqE3b+v2zKgONOM#eE6~yqOnk9QDJQ-xzQW>RT&s^)BaR?2_8G zH1tgGYLj?Qg7k#OYsK{aG1o1D3F z+qI`RFE7uRr|Hh7-e|WMmZVNA7UZY0JF@mW-`md8m;sHsb90mF&HmnhK6kC2O~xJC zD;JKucwkMssS)3^H{X|}9;wx?UR_vk%tz>NI1@3Er-gZnEyh!6ce2B$uEvfkHk^s< zM07|apUI9L>SQl_-P?wCAB?p6;e_~zIjC?`<1Jg?zvqvxSZ(8c?6The_V>N)#AMz& zl=qh&%C6mf>W)`jK9+sv%8Bg7ztMU9&2dEkEr0vIL$!NPz3#;1%z=K&>O439=AhaV z`>xpe{Bmcr^5TGE9;5v%z7~r4tbTOzXmajeFqr`ch=BA@>sh|C=U)rp^)F)Z?;SVpQ z>-Nc^yN`a~MCy|l-E{58V&B!jUrq<3~RDo)3Qg{l9z1^zVMpuV4J>Gk+=i ztb4S)KJ#GQnhe&VStR?wrsvRd*Q-`G|s{%Vdeg&H-8~}>dAOF zc`nZ$Shf#LBS2la5QB4lAWc1;K7KtJpTrJcclD2aBXPy!XVYik^VtKl7oJLe62ojfm1SS~%F?ven4bED zfwg0o+sW+gRQAeO-ta%iJNL3G#nw@qX`X-X1M{=9PxiKx8*Zo_j8X4C|70;v(6Zze zm^t>_gK9)AZ6~|fcg0A8F_KBqC(AnJtuymKpT&2S&&JmBWNas&_#4msVX>Xerg2ra zFv#Yh8aT9armqfdRRdm8@#EJPuAdz6YKKwWtB0|Yy;#RfyM=yqFUnRX0RjXF5GcRE z={RIGv{1q0AbVjq{l|Mz>K>K3_`5=s7d8;EpU_1nx_m4+Cwo!|G8)+paFc5*$?ST}twL%8-xjT=QrN7FC=3ZQ5IxMZ? z(D1NUHpKPJ3~^oERjrgUvFEJ?MPzA{tIe^lnCRP(?<^RJh-Px8{% ze3OcMKiLFm6pKrHom0A?D?$~o2`ce#r`3En8O4Taotp_vZ+_n5W<`u>dGAgX72H@_ zi`U?c$acr8&Fiaf5VGU4#wug;N5`r<+o(+ATG1y}+)fs+i`s_AI3(@$Nd-T5jf1W_ z5FkK+Km`QivZu0!*21y-vbJFDdOHTWwu{)E30#P4f`suBsA`|IO8h-I-P=PLFM+CV zC*!58HUtO|*qXrU|9Wfb2AygbA1!((dkwlq$|JD8!1#?VyMA4JOIBVWv}uO2B{==A z(bRY_r*n^{?$%0x009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7dX^#xA9dH8M2hrdc|B(N)iQ)5E;@#szU(>Kc8r zB_@do5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5;&T?iccfn8+YMOK%a?gCHNp$nXT*U(p4tZcwH_4E+d<;%zrkgb9M0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF>_36yosqYBy(@;+iywJ~Rbc-KjKOxY-r2!omxVPo^OFw?BG1s3S^z*RVFz9+Kj{pGz1PBlyuonWy@7jw}Hz{+&Gv@1A zTD)PBO^Uaez@P<2vYl-Bg}aue#TzCWG=lO75FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAJ#salZ4WV*t z47RvN)DS9S6$ExBFqG|NjlmYzh}u~LH@6_S-;`d)CX)yr; z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0Rm$!aQvp!TUDG`V zkY(##+PGf)$SbS@`%hpDwv+WPZCo#Y|8NIQ`%V2sXJ= zF)iLC$p|WKmHS!X$U8^S`c1A>Op7;3GJ;B5B>@5i2oNAZV0QvX@7rDeJ;>eJgEAFK zU{?aRlU?!2On?9Z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkKcO@UKSuNghq$oh7QJFuO^(h?Yoz)-f6 z+EAKeB?Jf%AV7csfw2}i^8F*KUS~w>wORr@5U`!>08JJG1PBlyK!5-N0t5&UAV7cs z0RjZJEYKRr`3p~Pd3_RYF7V=SZJuWH6z$EENI`%A0RjXF5ZLDe$2%hjSnsU+_2Nff zVHMba0%Ne9toN;Tz4-mtNm@*R009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!Ctl3!LtZpn7qoqS1gx;BJ*W78uEP;!4FG_m_+W z2oNAZfIxW#TJ15cdnf9Y{saPJB49fila5dg0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyKw#_y4*${+subffZYQL%8;#$%9WiP5 zTHw^vd%cDz-*}8eK(-131PBlyKw#Vjj@~zRHSCOCjZ|pg3)oKf{YwA<0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5GbucYxLJpxpZ;GtLMzeS451@ z>^84V9iNKtwkAg(-)#wdmVfkH@SZhI!2}2pAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7b}n$_($4elIOo2-0&8nxXWqZHbOTKl zeX>Efve${)+#`?>Kw`5{?t17{oPXEQxRB~72n>;?6I$J9N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C7))F}Vj9Q`Zf*slFNI^#I@hdP~k-#8lV=h1%0 zu|L%5$cVer3e>*5!;IU?R=mfzx0PidCmuqdEa?lMzsBUH7Jbs+PV|XB85053AV6T?0;hg! z;6<)1(3{9gkxk~0{FzM>40v&Sz~xo3xZ3|SoK_X55jnK7CG6q!Nh{T*v!x12luhmZ z`^n-7cHUXG^okoopF};{3w6mCrc4kZK!5-N0(&V?f6rc)UX*<$JJ`t%W}Qw}>tt89 zyt+ugPd`7OKE7q7ohCe+)$^=g&#q_n>)F{Ym(so-pVzK!Ik|)c2oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5)GC(yp}@2|H=JOKg(2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlq4}mv+dOYf(76b?o*t$SH zyYU}wJ++hs_NhSrr~ctSZMkL>AV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009DHDbRY&SXOHrm8xGV61TRIW!#faje7+*tKZdjHnCY6 z%Lx!5K!5-N0t5)G7MTA1)p6yE$?J{sReJpzm;U=n*2>!JH_s9RgAi!`{2)phRT=3E z{DiOZqvGF3>ur_(*j)v;lM_{V0p``(f4#lTeNr3{ZpA0BdYN0XvhK~Vu8b+${A8+d zt!%a4sJ_i#+GuGxmYvAUQO~W`vQ>qx{@_+yTaI+i-zi5u*RN&qqPlVY)YwB7o|=iw^6CoXAr^UF(S$~oDHLlKqgmFcgSvm+L3J<&LE zExTcHzWh_oe_Qr8+;pNfS*`7)>^+iY7iOE~Z$!mf(9D|i6>C!Io70@XFkAXYRIC9P zW~}WpK%;tk>&sWB&k;F>J#HL zj^T#V{KgnoYTH$6XW6xFXByiK<^P?r(LNTs)p?K4vgWtO_T{pbiq-m^QK7FV+4OT; zY5Lfwsn$8%zc}{Z8&$AUebH~|^z;3xvOf22OH}&f5lj64^Ci<|oQya3b{XrvL7k_c z-C$uU7PU)J%WYNid$yH&+!Dw8N%tDlc7?8XX#M$dW8PMMdS6RgwXCzPeB+(?Pmg!? zHm_YCNvient98@ntse6fc^3Z~*T%e-+o)di3)@IMrb#%OH_ zY40^%iSwWHae09Zq#hNxPOEUJQm?dLG?1gE>*UE;9Is}-NS zdS6tAz4AR-U+X1%QtS{5y_)Cw)%?Tx5Lda^t2(>aE7^0fmmp`9X z;BCGT}`~v#P2Jyax2zqND?S@X+C z1-@o2cEkgVljWQ0ELOQf=XW*#&&6hybEfYyEItO; z9zVCFlgd6>{`+uO=#8ZJVw(Ox%Koa#-kHaD_)h7YvDkp-*A}PCIdy2Fl{ISFk#g34 zty(vKd9B!T#kI0_r%DHgt8l!lF_AWu>Vyh>7S0-zwQBWA>8~-Jc)XQO)IU}J`(Z3@ z=%xQLXyWl7o4oPR&sAvfx$=)ye$$Wr@Z`6D@#nr{@nvRe)B;n7vu2EP_J&c{!}=Em zo;^H!A;zB5CyOK_pL+Jf(H}nLSgXJ}LjXL=Qfiu4k-Q>3YV} z-&5_Oe}477r~clF3iZk2KA^NymAe+Zv^}v{aecCAF)ma3WL$b;u^yG{lQ({Pv2msd z5LjDa`d8PEFH>y2OqJcVuJ!EDLz^ZV`vj>^4vc**Rj|YY#%CPE&TD>U3@f#@Ds|sR z(qpyWP_pLxw+1jisamV5l44fJu1Z##h~`&IQ`Pk<+Pyq}&8t$@%QD{at=}8(`mL&6 zXH`tKPE1{+d7?%yF#Wruufy(Y(Aiz~G0ffi`7x~2hE-~>TsqOdr&?n)HoI5+uWaY| z&UY57);&eI77G|RTVkJF1lT?h~$K!5-N0t5&UAV7cs0RjXF z?4>~IuVF3@K33{zeffW9eCb}kVn0ck4s_eKT z`HpjrXGVQ8p8cX$<0W7_8L$2*YV)VZuuj{oQXc7jXWS;5GpI<&-$~pI1DP^~*Gywtx2oNAZVAKNBzd7m}?0WqbyIXyhHGgr}CZjE&I3Qfl zs?;&IlhMAU)>?b%x0Cc8{_&dMPuA*OaRU>W{^r1n8eu_I8vSI1j8?s_K=UQ*E*j4T zjscHnzf`?er_0{JPL|nr%HD}%Uw_v#j$ao|pB=wCZCIlUjW4%eJ6m_Jx1>bz6YUaJ zR#gcQAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV8qB0_RIx^Tmo+ z>k`wfS*~+E^Tm$nO+B7Ho_#$2J-%v5X(O6sQ$m9$m;bA;3Lwc@X&4Ow07>04IEjd4uA zX5BgwoBk>irk^TRLl(~$?hiwg&g`n?@mH#Ls4M{j1PBlyK!5-N0&563uYL^_Q3MDO zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7dXDFmkfO)09mc_m%> zySb4RI~HjE-j1`5S4P(}j#q!E4S_utnEw18uV5I(PYh!v)1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZV2=gz)?aMx@hU1*d~G;wIex4$w^wWG z9Neptl}msC0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7h9z)5%WC($|7YIVjuocA z^I2Ytr^zML2hy~^@iFN2(ZOyXwQC1HoBHkP=(mHl^V#*@b5{Gef9H|^uHSFHJV*NT Up2w#@^@YCh8t@t@!)xIG2hh%neE + + + + + + + + + + + + + + + + + + + + + + + design_1 + BC + + + active + 2 + PM + + + 2 + design_1 + VR + + + 0x41200000 + C_BASEADDR + 0x4120FFFF + C_HIGHADDR + Data + /processing_system7_0 + M_AXI_GP0 + SEG_axi_gpio_0_Reg + xilinx.com:ip:processing_system7:5.5 + both + /axi_gpio_0 + S_AXI + Reg + xilinx.com:ip:axi_gpio:2.0 + register + AC + + + + + 2 + + + diff --git a/ARM_Blinki/ARM_Blinki.srcs/sources_1/bd/design_1/ip/design_1_auto_pc_0/design_1_auto_pc_0.xci b/ARM_Blinki/ARM_Blinki.srcs/sources_1/bd/design_1/ip/design_1_auto_pc_0/design_1_auto_pc_0.xci new file mode 100644 index 0000000..a5a0cb6 --- /dev/null +++ b/ARM_Blinki/ARM_Blinki.srcs/sources_1/bd/design_1/ip/design_1_auto_pc_0/design_1_auto_pc_0.xci @@ -0,0 +1,260 @@ + + + xilinx.com + xci + unknown + 1.0 + + + design_1_auto_pc_0 + + + S_AXI:M_AXI + + ARESETN + design_1_processing_system7_0_0_FCLK_CLK0 + 100000000 + 0 + 0 + 0.0 + 32 + 0 + 0 + 0 + design_1_processing_system7_0_0_FCLK_CLK0 + 32 + 100000000 + 1 + 0 + 0 + 0 + 1 + 0 + 0 + 1 + 1 + 0 + 0 + 1 + 8 + 4 + 8 + 4 + 0.0 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 0 + ACTIVE_LOW + INTERCONNECT + 32 + 0 + 0 + 0 + design_1_processing_system7_0_0_FCLK_CLK0 + 32 + 100000000 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + 1 + 12 + 0 + 16 + 8 + 4 + 8 + 4 + 0.0 + AXI3 + READ_WRITE + 0 + 0 + 0 + 0 + 0 + 32 + 1 + 1 + 1 + 32 + 12 + 1 + 1 + 0 + 1 + 1 + zynq + 0 + 2 + 1 + 2 + 32 + 0 + 0 + 0 + design_1_auto_pc_0 + 32 + 12 + AXI4LITE + READ_WRITE + 0 + AXI3 + 2 + 0 + zynq + tul.com.tw:pynq-z2:part0:1.0 + + xc7z020 + clg400 + VERILOG + + MIXED + -1 + + + TRUE + TRUE + IP_Integrator + 25 + TRUE + ../../../../../../ARM_Blinki.gen/sources_1/bd/design_1/ip/design_1_auto_pc_0 + rtl + ../../ipshared + 2021.2 + OUT_OF_CONTEXT + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/ARM_Blinki/ARM_Blinki.srcs/sources_1/bd/design_1/ip/design_1_axi_gpio_0_0/design_1_axi_gpio_0_0.xci b/ARM_Blinki/ARM_Blinki.srcs/sources_1/bd/design_1/ip/design_1_axi_gpio_0_0/design_1_axi_gpio_0_0.xci new file mode 100644 index 0000000..f9bb1ae --- /dev/null +++ b/ARM_Blinki/ARM_Blinki.srcs/sources_1/bd/design_1/ip/design_1_axi_gpio_0_0/design_1_axi_gpio_0_0.xci @@ -0,0 +1,166 @@ + + + xilinx.com + xci + unknown + 1.0 + + + design_1_axi_gpio_0_0 + + + 1 + 9 + 0 + 0 + 0 + design_1_processing_system7_0_0_FCLK_CLK0 + 32 + 100000000 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 0 + 0 + 1 + 8 + 4 + 8 + 4 + 0.0 + AXI4LITE + READ_WRITE + 0 + 0 + 0 + 0 + 0 + + design_1_processing_system7_0_0_FCLK_CLK0 + 100000000 + 0 + 0 + 0.0 + 0 + 0 + 0 + 0 + 0 + 0x00000000 + 0x00000000 + zynq + 32 + 4 + 0 + 0 + 0xFFFFFFFF + 0xFFFFFFFF + 0 + 0 + 0 + 0 + 0x00000000 + 0x00000000 + 32 + 4 + 0 + 0 + 0xFFFFFFFF + 0xFFFFFFFF + design_1_axi_gpio_0_0 + Custom + leds_4bits + true + zynq + tul.com.tw:pynq-z2:part0:1.0 + + xc7z020 + clg400 + VERILOG + + MIXED + -1 + + + TRUE + TRUE + IP_Integrator + 27 + TRUE + ../../../../../../ARM_Blinki.gen/sources_1/bd/design_1/ip/design_1_axi_gpio_0_0 + + ../../ipshared + 2021.2 + OUT_OF_CONTEXT + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/ARM_Blinki/ARM_Blinki.srcs/sources_1/bd/design_1/ip/design_1_processing_system7_0_0/design_1_processing_system7_0_0.xci b/ARM_Blinki/ARM_Blinki.srcs/sources_1/bd/design_1/ip/design_1_processing_system7_0_0/design_1_processing_system7_0_0.xci new file mode 100644 index 0000000..d0b620c --- /dev/null +++ b/ARM_Blinki/ARM_Blinki.srcs/sources_1/bd/design_1/ip/design_1_processing_system7_0_0/design_1_processing_system7_0_0.xci @@ -0,0 +1,2361 @@ + + + xilinx.com + xci + unknown + 1.0 + + + design_1_processing_system7_0_0 + + + 1 + LEVEL_HIGH + 1 + LEVEL_HIGH + 1 + LEVEL_HIGH + 1 + LEVEL_HIGH + TDM + 8 + false + 11 + 11 + true + + true + 8 + + COMPONENTS + ROW_COLUMN_BANK + Single + 1250 + + 100000000 + 0 + 0 + 1 + 0 + 0 + undef + 0.0 + 1 + 0 + 0 + 0 + + + + 100000000 + 0 + 0 + 0.0 + + 100000000 + 0 + 0 + 1 + 0 + 0 + undef + 0.0 + 1 + 0 + 0 + 0 + + 100000000 + 0 + 0 + 1 + 0 + 0 + undef + 0.0 + 1 + 0 + 0 + 0 + + + + 100000000 + 0 + 0 + 0.0 + + 100000000 + 0 + 0 + 1 + 0 + 0 + undef + 0.0 + 1 + 0 + 0 + 0 + + 100000000 + 0 + 0 + 1 + 0 + 0 + undef + 0.0 + 1 + 0 + 0 + 0 + + + + 100000000 + 0 + 0 + 0.0 + + 100000000 + 0 + 0 + 1 + 0 + 0 + undef + 0.0 + 1 + 0 + 0 + 0 + + 100000000 + 0 + 0 + 1 + 0 + 0 + undef + 0.0 + 1 + 0 + 0 + 0 + + + + 100000000 + 0 + 0 + 0.0 + + 100000000 + 0 + 0 + 1 + 0 + 0 + undef + 0.0 + 1 + 0 + 0 + 0 + 1 + LEVEL_HIGH + 1 + LEVEL_HIGH + + + + design_1_processing_system7_0_0_FCLK_CLK0 + 100000000 + 0 + 0 + 0.0 + + + + + 0 + 0 + 0.0 + + + + + 0 + 0 + 0.0 + + + + + 0 + 0 + 0.0 + 0 + ACTIVE_LOW + 0 + ACTIVE_LOW + 0 + ACTIVE_LOW + 0 + ACTIVE_LOW + false + + + + 100000000 + 0 + 0 + 0.0 + + 100000000 + 0 + 0 + 1 + 0 + 0 + undef + 0.0 + 1 + 0 + 0 + 0 + 1 + LEVEL_HIGH + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + false + false + 32 + 0 + 0 + 0 + design_1_processing_system7_0_0_FCLK_CLK0 + 32 + 100000000 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + 1 + 12 + 0 + 16 + 4 + 4 + 0.0 + AXI3 + READ_WRITE + 0 + 0 + 0 + 0 + 0 + + + design_1_processing_system7_0_0_FCLK_CLK0 + 100000000 + 0 + 0 + 0.0 + 32 + 0 + 0 + 0 + + 32 + 100000000 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 0 + 256 + 1 + 1 + 0.0 + AXI4 + READ_WRITE + 0 + 0 + 0 + 0 + + + + 100000000 + 0 + 0 + 0.0 + 32 + 0 + 0 + 0 + + 32 + 100000000 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 0 + 256 + 1 + 1 + 0.0 + AXI4 + READ_WRITE + 0 + 0 + 1 + 0 + 0 + + + + 100000000 + 0 + 0 + 0.0 + 32 + 0 + 0 + 0 + + 32 + 100000000 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 0 + 256 + 1 + 1 + 0.0 + AXI4 + READ_WRITE + 0 + 0 + 1 + 0 + 0 + + + + 100000000 + 0 + 0 + 0.0 + 32 + 0 + 0 + 0 + + 32 + 100000000 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 0 + 256 + 1 + 1 + 0.0 + AXI4 + READ_WRITE + 0 + 0 + 1 + 0 + 0 + + + + 100000000 + 0 + 0 + 0.0 + 32 + 0 + 0 + 0 + + 32 + 100000000 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 0 + 256 + 1 + 1 + 0.0 + AXI4 + READ_WRITE + 0 + 0 + 1 + 0 + 0 + + + + 100000000 + 0 + 0 + 0.0 + 32 + 0 + 0 + 0 + + 32 + 100000000 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 0 + 256 + 1 + 1 + 0.0 + AXI4 + READ_WRITE + 0 + 0 + 1 + 0 + 0 + + + + 100000000 + 0 + 0 + 0.0 + 32 + 0 + 0 + 0 + + 32 + 100000000 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 0 + 256 + 1 + 1 + 0.0 + AXI4 + READ_WRITE + 0 + 0 + 1 + 0 + 0 + + + + 100000000 + 0 + 0 + 0.0 + 32 + 0 + 0 + 0 + + 32 + 100000000 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 0 + 256 + 1 + 1 + 0.0 + AXI4 + READ_WRITE + 0 + 0 + 1 + 0 + 0 + + + + 100000000 + 0 + 0 + 0.0 + design_1_processing_system7_0_0 + 650.000000 + 23.8095 + 23.8095 + 10.000000 + 10.096154 + 125.000000 + 10.000000 + 100.000000 + 10.000000 + 10.000000 + 10.000000 + 50 + 200.000000 + 200.000000 + 50.000000 + 10.000000 + 10.000000 + 200.000000 + 108.333336 + 108.333336 + 108.333336 + 108.333336 + 108.333336 + 108.333336 + 50 + 100.000000 + 60 + 60 + 108.333336 + 6:2:1 + 650 + 26 + 0xE0008000 + <Select> + 0 + <Select> + 0xE0008FFF + External + 0 + -1 + 0xE0009000 + <Select> + 0 + <Select> + 0xE0009FFF + External + 0 + -1 + IO PLL + 1 + 1 + 100 + 0 + 100000000 + 10000000 + 10000000 + 10000000 + 0 + 0 + 0 + 0 + 667 + 1300.000 + ARM PLL + 2 + 50 + DDR PLL + 52 + 2 + 10.159 + 21 + 1050.000 + HPR(0)/LPR(32) + 15 + 2 + DDR PLL + 2 + 0 + 0 + 0 + 0 + <Select> + <Select> + <Select> + <Select> + <Select> + <Select> + <Select> + <Select> + 0x00100000 + 0x1FFFFFFF + 2 + 4 + 4 + 32 + <Select> + <Select> + 0xE000B000 + MIO 16 .. 27 + 1 + MIO 52 .. 53 + 0xE000BFFF + IO PLL + 8 + 1 + 1 + 1000 Mbps + 1 + MIO 9 + 0xE000C000 + <Select> + 0 + <Select> + 0xE000CFFF + IO PLL + 1 + 1 + 0 + 1000 Mbps + 0 + <Select> + 1 + Active Low + Share reset pin + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 1 + 0 + 0 + IO PLL + 5 + 2 + IO PLL + 1 + 1 + IO PLL + 1 + 1 + IO PLL + 1 + 1 + TRUE + FALSE + FALSE + FALSE + 100 + 50 + 50 + 50 + 1 + 0 + 0 + 0 + <Select> + <Select> + <Select> + <Select> + <Select> + <Select> + <Select> + <Select> + 1 + 4 + 4 + 1 + 4 + 4 + 0xE000A000 + 0 + <Select> + 64 + 0xE000AFFF + 1 + MIO + 0 + 0xE0004000 + 0 + <Select> + 0xE0004FFF + <Select> + 0 + 0 + <Select> + 0xE0005000 + 0 + <Select> + 0xE0005FFF + <Select> + 0 + 0 + <Select> + 25 + 1 + Active Low + <Select> + None + 0 + 0 + 20 + 1000.000 + 0 + DIRECT + inout + LVCMOS 3.3V + enabled + slow + inout + LVCMOS 3.3V + enabled + slow + inout + LVCMOS 3.3V + enabled + slow + inout + LVCMOS 3.3V + enabled + slow + inout + LVCMOS 3.3V + enabled + slow + in + LVCMOS 3.3V + enabled + slow + out + LVCMOS 3.3V + enabled + slow + out + LVCMOS 1.8V + enabled + slow + out + LVCMOS 1.8V + enabled + slow + out + LVCMOS 1.8V + enabled + slow + out + LVCMOS 1.8V + enabled + slow + out + LVCMOS 3.3V + enabled + slow + out + LVCMOS 1.8V + enabled + slow + out + LVCMOS 1.8V + enabled + slow + in + LVCMOS 1.8V + enabled + slow + in + LVCMOS 1.8V + enabled + slow + in + LVCMOS 1.8V + enabled + slow + in + LVCMOS 1.8V + enabled + slow + in + LVCMOS 1.8V + enabled + slow + in + LVCMOS 1.8V + enabled + slow + inout + LVCMOS 1.8V + enabled + slow + in + LVCMOS 1.8V + enabled + slow + inout + LVCMOS 3.3V + disabled + slow + out + LVCMOS 1.8V + enabled + slow + in + LVCMOS 1.8V + enabled + slow + inout + LVCMOS 1.8V + enabled + slow + inout + LVCMOS 1.8V + enabled + slow + inout + LVCMOS 1.8V + enabled + slow + inout + LVCMOS 1.8V + enabled + slow + in + LVCMOS 1.8V + enabled + slow + inout + LVCMOS 1.8V + enabled + slow + inout + LVCMOS 1.8V + enabled + slow + inout + LVCMOS 1.8V + enabled + slow + inout + LVCMOS 3.3V + disabled + slow + inout + LVCMOS 1.8V + enabled + slow + inout + LVCMOS 1.8V + enabled + slow + inout + LVCMOS 1.8V + enabled + slow + inout + LVCMOS 1.8V + enabled + slow + inout + LVCMOS 1.8V + enabled + slow + inout + LVCMOS 1.8V + enabled + slow + out + LVCMOS 1.8V + enabled + slow + in + LVCMOS 1.8V + enabled + slow + inout + LVCMOS 1.8V + enabled + slow + inout + LVCMOS 1.8V + enabled + slow + inout + LVCMOS 3.3V + disabled + slow + inout + LVCMOS 1.8V + enabled + slow + inout + LVCMOS 1.8V + enabled + slow + out + LVCMOS 1.8V + enabled + slow + inout + LVCMOS 1.8V + enabled + slow + inout + LVCMOS 3.3V + disabled + slow + out + LVCMOS 3.3V + disabled + slow + out + LVCMOS 3.3V + disabled + slow + out + LVCMOS 3.3V + disabled + slow + out + LVCMOS 3.3V + enabled + slow + 54 + GPIO#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#GPIO#Quad SPI Flash#ENET Reset#GPIO#GPIO#GPIO#GPIO#UART 0#UART 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#USB 0#USB 0#USB 0#USB 0#USB 0#USB 0#USB 0#USB 0#USB 0#USB 0#USB 0#USB 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#USB Reset#SD 0#GPIO#GPIO#GPIO#GPIO#Enet 0#Enet 0 + gpio[0]#qspi0_ss_b#qspi0_io[0]#qspi0_io[1]#qspi0_io[2]#qspi0_io[3]/HOLD_B#qspi0_sclk#gpio[7]#qspi_fbclk#reset#gpio[10]#gpio[11]#gpio[12]#gpio[13]#rx#tx#tx_clk#txd[0]#txd[1]#txd[2]#txd[3]#tx_ctl#rx_clk#rxd[0]#rxd[1]#rxd[2]#rxd[3]#rx_ctl#data[4]#dir#stp#nxt#data[0]#data[1]#data[2]#data[3]#clk#data[5]#data[6]#data[7]#clk#cmd#data[0]#data[1]#data[2]#data[3]#reset#cd#gpio[48]#gpio[49]#gpio[50]#gpio[51]#mdc#mdio + 0 + 10 + 12 + 0 + 12 + 0 + 10 + 12 + 0 + 12 + 1 + 1 + 11 + 1 + 1 + 11 + 1 + 0 + <Select> + <Select> + 0 + 1 + 1 + 11 + 1 + 11 + 1 + 0 + 1 + 1 + 11 + 1 + 11 + 1 + 0 + 0 + <Select> + 0 + <Select> + 0 + <Select> + 0 + <Select> + 0 + <Select> + 0 + <Select> + <Select> + 0 + 1 + 1 + 11 + 1 + 11 + 1 + 0 + 1 + 1 + 11 + 1 + 11 + 1 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0.279 + 0.260 + 0.085 + 0.092 + -0.051 + -0.006 + -0.009 + -0.033 + clg400 + IO PLL + 5 + 200 + None + 0 + <Select> + 0 + LVCMOS 3.3V + LVCMOS 1.8V + PRODUCTION + 1 + MIO 8 + 0 + <Select> + 1 + MIO 1 .. 6 + 0 + <Select> + 0xFCFFFFFF + IO PLL + 5 + 1 + 200 + MIO 1 .. 6 + 1 + MIO 47 + 0 + <Select> + 0 + <Select> + 1 + MIO 40 .. 45 + 0 + <Select> + 0 + <Select> + 0 + <Select> + 0 + <Select> + 0xE0100000 + 0xE0100FFF + 0xE0101000 + 0xE0101FFF + IO PLL + 20 + 50 + 1 + x4 + NA + NA + NA + NA + NA + NA + NA + IO PLL + 1 + 100 + 0 + 0xE0006000 + 0 + <Select> + 0 + <Select> + 0 + <Select> + 0xE0006FFF + 0 + <Select> + 0xE0007000 + 0 + <Select> + 0 + <Select> + 0 + <Select> + 0xE0007FFF + 0 + <Select> + IO PLL + 1 + 166.666666 + 0 + 31 + 31 + 10 + 3 + 10 + 6 + 10 + 6 + 64 + 10 + 6 + 64 + 10 + 6 + 64 + 10 + 6 + 64 + 10 + 6 + External + 1 + 200 + 12 + 128 + 0 + <Select> + 0 + <Select> + 0 + <Select> + 0 + <Select> + 0 + <Select> + 2 + 0 + 8 + <Select> + 0xE0104000 + CPU_1X + 1 + 133.333333 + CPU_1X + 1 + 133.333333 + CPU_1X + 1 + 133.333333 + 0xE0104fff + 0 + <Select> + 0xE0105000 + CPU_1X + 1 + 133.333333 + CPU_1X + 1 + 133.333333 + CPU_1X + 1 + 133.333333 + 0xE0105fff + 0 + <Select> + 50 + 0xE0000000 + 115200 + 0 + <Select> + 0xE0000FFF + 1 + MIO 14 .. 15 + 0xE0001000 + 115200 + 0 + <Select> + 0xE0001FFF + 0 + <Select> + IO PLL + 10 + 100 + 1 + 525.000000 + 0 + 0 + 3 + 8 + 0.279 + 0.260 + 0.085 + 0.092 + 16 Bit + 7 + 27.95 + 80.4535 + 160 + 27.95 + 80.4535 + 160 + 0 + 80.4535 + 160 + 0 + 80.4535 + 160 + 0 + 10 + 6 + 4096 MBits + 32.14 + 105.056 + 160 + 31.12 + 66.904 + 160 + 0 + 89.1715 + 160 + 0 + 113.63 + 160 + -0.051 + -0.006 + -0.009 + -0.033 + 32.2 + 98.503 + 160 + 31.08 + 68.5855 + 160 + 0 + 90.295 + 160 + 0 + 103.977 + 160 + 16 Bits + Disabled + 1 + 525 + Normal (0-85) + DDR 3 + MT41J256M16 RE-125 + 15 + DDR3_1066F + 1 + 1 + 1 + 40.0 + 35.0 + 48.91 + 7 + 7 + 0 + NA + 0xE0102000 + 0xE0102fff + 1 + 60 + 1 + MIO 46 + MIO 28 .. 39 + 0xE0103000 + 0xE0103fff + 0 + 60 + 0 + <Select> + <Select> + 1 + Active Low + Share reset pin + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 3 + CPU_1X + 1 + 0 + 133.333333 + <Select> + None + zynq + tul.com.tw:pynq-z2:part0:1.0 + + xc7z020 + clg400 + VERILOG + + MIXED + -1 + + + TRUE + TRUE + IP_Integrator + 6 + TRUE + ../../../../../../ARM_Blinki.gen/sources_1/bd/design_1/ip/design_1_processing_system7_0_0 + + ../../ipshared + 2021.2 + OUT_OF_CONTEXT + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/ARM_Blinki/ARM_Blinki.srcs/sources_1/bd/design_1/ip/design_1_ps7_0_axi_periph_0/design_1_ps7_0_axi_periph_0.xci b/ARM_Blinki/ARM_Blinki.srcs/sources_1/bd/design_1/ip/design_1_ps7_0_axi_periph_0/design_1_ps7_0_axi_periph_0.xci new file mode 100644 index 0000000..087276a --- /dev/null +++ b/ARM_Blinki/ARM_Blinki.srcs/sources_1/bd/design_1/ip/design_1_ps7_0_axi_periph_0/design_1_ps7_0_axi_periph_0.xci @@ -0,0 +1,360 @@ + + + xilinx.com + xci + unknown + 1.0 + + + design_1_ps7_0_axi_periph_0 + + + design_1_ps7_0_axi_periph_0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 1 + 2 + 2 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 3 + 32 + zynq + tul.com.tw:pynq-z2:part0:1.0 + + xc7z020 + clg400 + VERILOG + + MIXED + -1 + + + TRUE + TRUE + IP_Integrator_AppCore + 26 + TRUE + ../../../../../../ARM_Blinki.gen/sources_1/bd/design_1/ip/design_1_ps7_0_axi_periph_0 + + ../../ipshared + 2021.2 + GLOBAL + + + + + + + + + + + + + diff --git a/ARM_Blinki/ARM_Blinki.srcs/sources_1/bd/design_1/ip/design_1_rst_ps7_0_100M_0/design_1_rst_ps7_0_100M_0.xci b/ARM_Blinki/ARM_Blinki.srcs/sources_1/bd/design_1/ip/design_1_rst_ps7_0_100M_0/design_1_rst_ps7_0_100M_0.xci new file mode 100644 index 0000000..cd78dd2 --- /dev/null +++ b/ARM_Blinki/ARM_Blinki.srcs/sources_1/bd/design_1/ip/design_1_rst_ps7_0_100M_0/design_1_rst_ps7_0_100M_0.xci @@ -0,0 +1,101 @@ + + + xilinx.com + xci + unknown + 1.0 + + + design_1_rst_ps7_0_100M_0 + + + 0 + ACTIVE_LOW + 0 + + + design_1_processing_system7_0_0_FCLK_CLK0 + 100000000 + 0 + 0 + 0.0 + 0 + 0 + ACTIVE_LOW + 0 + 0 + 0 + 0 + 0 + 4 + 0 + 4 + zynq + 1 + 1 + 1 + 1 + 0 + 4 + 0 + 4 + 1 + 1 + 1 + 1 + design_1_rst_ps7_0_100M_0 + Custom + false + zynq + tul.com.tw:pynq-z2:part0:1.0 + + xc7z020 + clg400 + VERILOG + + MIXED + -1 + + + TRUE + TRUE + IP_Integrator + 13 + TRUE + ../../../../../../ARM_Blinki.gen/sources_1/bd/design_1/ip/design_1_rst_ps7_0_100M_0 + + ../../ipshared + 2021.2 + OUT_OF_CONTEXT + + + + + + + + + + + + + + + + + + + + + + + diff --git a/ARM_Blinki/ARM_Blinki.srcs/sources_1/bd/design_1/ui/bd_1f5defd0.ui b/ARM_Blinki/ARM_Blinki.srcs/sources_1/bd/design_1/ui/bd_1f5defd0.ui new file mode 100644 index 0000000..dbed6f5 --- /dev/null +++ b/ARM_Blinki/ARM_Blinki.srcs/sources_1/bd/design_1/ui/bd_1f5defd0.ui @@ -0,0 +1,30 @@ +{ + "ActiveEmotionalView":"Default View", + "Default View_ScaleFactor":"1.0", + "Default View_TopLeft":"-4,-50", + "ExpandedHierarchyInLayout":"", + "guistr":"# # String gsaved with Nlview 7.0r4 2019-12-20 bk=1.5203 VDI=41 GEI=36 GUI=JA:10.0 TLS +# -string -flagsOSRD +preplace port DDR -pg 1 -lvl 4 -x 1010 -y 260 -defaultsOSRD +preplace port FIXED_IO -pg 1 -lvl 4 -x 1010 -y 280 -defaultsOSRD +preplace port leds_4bits -pg 1 -lvl 4 -x 1010 -y 140 -defaultsOSRD +preplace inst processing_system7_0 -pg 1 -lvl 1 -x 230 -y 310 -defaultsOSRD +preplace inst axi_gpio_0 -pg 1 -lvl 3 -x 880 -y 140 -defaultsOSRD +preplace inst ps7_0_axi_periph -pg 1 -lvl 2 -x 610 -y 120 -defaultsOSRD +preplace inst rst_ps7_0_100M -pg 1 -lvl 1 -x 230 -y 120 -defaultsOSRD +preplace netloc processing_system7_0_FCLK_CLK0 1 0 3 20 10 450 240 760J +preplace netloc processing_system7_0_FCLK_RESET0_N 1 0 2 30 20 430 +preplace netloc rst_ps7_0_100M_peripheral_aresetn 1 1 2 440 250 770J +preplace netloc processing_system7_0_DDR 1 1 3 NJ 260 NJ 260 NJ +preplace netloc processing_system7_0_FIXED_IO 1 1 3 NJ 280 NJ 280 NJ +preplace netloc processing_system7_0_M_AXI_GP0 1 1 1 460 60n +preplace netloc ps7_0_axi_periph_M00_AXI 1 2 1 N 120 +preplace netloc axi_gpio_0_GPIO 1 3 1 NJ 140 +levelinfo -pg 1 0 230 610 880 1010 +pagesize -pg 1 -db -bbox -sgen 0 0 1140 420 +" +} +{ + "da_axi4_cnt":"1", + "da_ps7_cnt":"1" +} diff --git a/ARM_Blinki/ARM_Blinki.vitis/Blinki/Blinki.prj b/ARM_Blinki/ARM_Blinki.vitis/Blinki/Blinki.prj new file mode 100644 index 0000000..40a46e6 --- /dev/null +++ b/ARM_Blinki/ARM_Blinki.vitis/Blinki/Blinki.prj @@ -0,0 +1,11 @@ + + + + + + + + + +