set_property IOSTANDARD LVCMOS33 [get_ports {btns_4bits_tri_i[0]}] set_property IOSTANDARD LVCMOS33 [get_ports {btns_4bits_tri_i[1]}] set_property IOSTANDARD LVCMOS33 [get_ports {btns_4bits_tri_i[2]}] set_property IOSTANDARD LVCMOS33 [get_ports {btns_4bits_tri_i[3]}] set_property IOSTANDARD LVCMOS33 [get_ports {leds_4bits_tri_o_0}] set_property IOSTANDARD LVCMOS33 [get_ports {leds_4bits_tri_o_1}] set_property IOSTANDARD LVCMOS33 [get_ports {leds_4bits_tri_o_2}] set_property IOSTANDARD LVCMOS33 [get_ports {leds_4bits_tri_o_3}] set_property IOSTANDARD LVCMOS33 [get_ports {sws_2bits_tri_i_0}] set_property IOSTANDARD LVCMOS33 [get_ports {sws_2bits_tri_i_1}] #set_property IOSTANDARD LVCMOS33 [get_ports {sys_clk}] #set_property IOSTANDARD LVCMOS33 [get_ports {JA1}] #set_property IOSTANDARD LVCMOS33 [get_ports {JA2}] #set_property IOSTANDARD LVCMOS33 [get_ports {JA3}] #set_property IOSTANDARD LVCMOS33 [get_ports {JA4}] #set_property IOSTANDARD LVCMOS33 [get_ports {JA7}] #set_property IOSTANDARD LVCMOS33 [get_ports {JA8}] #set_property IOSTANDARD LVCMOS33 [get_ports {JA9}] #set_property IOSTANDARD LVCMOS33 [get_ports {JA10}] #set_property IOSTANDARD LVCMOS33 [get_ports {JB1}] #set_property IOSTANDARD LVCMOS33 [get_ports {JB2}] #set_property IOSTANDARD LVCMOS33 [get_ports {JB3}] #set_property IOSTANDARD LVCMOS33 [get_ports {JB4}] #set_property IOSTANDARD LVCMOS33 [get_ports {JB7}] #set_property IOSTANDARD LVCMOS33 [get_ports {JB8}] #set_property IOSTANDARD LVCMOS33 [get_ports {JB9}] #set_property IOSTANDARD LVCMOS33 [get_ports {JB10}] #set_property IOSTANDARD LVCMOS33 [get_ports {i2c_scl_i}] #set_property IOSTANDARD LVCMOS33 [get_ports {i2c_sda_i}] #set_property IOSTANDARD LVCMOS33 [get_ports {rgb_led_tri_o_0}] #set_property IOSTANDARD LVCMOS33 [get_ports {rgb_led_tri_o_1}] #set_property IOSTANDARD LVCMOS33 [get_ports {rgb_led_tri_o_2}] #set_property IOSTANDARD LVCMOS33 [get_ports {rgb_led_tri_o_3}] #set_property IOSTANDARD LVCMOS33 [get_ports {rgb_led_tri_o_4}] #set_property IOSTANDARD LVCMOS33 [get_ports {rgb_led_tri_o_5}] #set_property IOSTANDARD LVCMOS33 [get_ports {arduino_a0_a13_tri_i_0}] #set_property IOSTANDARD LVCMOS33 [get_ports {arduino_a0_a13_tri_i_1}] #set_property IOSTANDARD LVCMOS33 [get_ports {arduino_a0_a13_tri_i_2}] #set_property IOSTANDARD LVCMOS33 [get_ports {arduino_a0_a13_tri_i_3}] #set_property IOSTANDARD LVCMOS33 [get_ports {arduino_a0_a13_tri_i_4}] #set_property IOSTANDARD LVCMOS33 [get_ports {arduino_a0_a13_tri_i_5}] #set_property IOSTANDARD LVCMOS33 [get_ports {arduino_a0_a13_tri_i_6}] #set_property IOSTANDARD LVCMOS33 [get_ports {arduino_a0_a13_tri_i_7}] #set_property IOSTANDARD LVCMOS33 [get_ports {arduino_a0_a13_tri_i_8}] #set_property IOSTANDARD LVCMOS33 [get_ports {arduino_a0_a13_tri_i_9}] #set_property IOSTANDARD LVCMOS33 [get_ports {arduino_a0_a13_tri_i_10}] #set_property IOSTANDARD LVCMOS33 [get_ports {arduino_a0_a13_tri_i_11}] #set_property IOSTANDARD LVCMOS33 [get_ports {arduino_a0_a13_tri_i_12}] #set_property IOSTANDARD LVCMOS33 [get_ports {arduino_a0_a13_tri_i_13}] #set_property IOSTANDARD LVCMOS33 [get_ports {raspberry_pi_tri_i_0}] #set_property IOSTANDARD LVCMOS33 [get_ports {raspberry_pi_tri_i_1}] #set_property IOSTANDARD LVCMOS33 [get_ports {raspberry_pi_tri_i_2}] #set_property IOSTANDARD LVCMOS33 [get_ports {raspberry_pi_tri_i_3}] #set_property IOSTANDARD LVCMOS33 [get_ports {raspberry_pi_tri_i_4}] #set_property IOSTANDARD LVCMOS33 [get_ports {raspberry_pi_tri_i_5}] #set_property IOSTANDARD LVCMOS33 [get_ports {raspberry_pi_tri_i_6}] #set_property IOSTANDARD LVCMOS33 [get_ports {raspberry_pi_tri_i_7}] #set_property IOSTANDARD LVCMOS33 [get_ports {raspberry_pi_tri_i_8}] #set_property IOSTANDARD LVCMOS33 [get_ports {raspberry_pi_tri_i_9}] #set_property IOSTANDARD LVCMOS33 [get_ports {raspberry_pi_tri_i_10}] #set_property IOSTANDARD LVCMOS33 [get_ports {raspberry_pi_tri_i_11}] #set_property IOSTANDARD LVCMOS33 [get_ports {raspberry_pi_tri_i_12}] #set_property IOSTANDARD LVCMOS33 [get_ports {raspberry_pi_tri_i_13}] #set_property IOSTANDARD LVCMOS33 [get_ports {raspberry_pi_tri_i_14}] #set_property IOSTANDARD LVCMOS33 [get_ports {raspberry_pi_tri_i_15}] #set_property IOSTANDARD LVCMOS33 [get_ports {raspberry_pi_tri_i_16}] #set_property IOSTANDARD LVCMOS33 [get_ports {raspberry_pi_tri_i_17}] #set_property IOSTANDARD LVCMOS33 [get_ports {raspberry_pi_tri_i_18}] #set_property IOSTANDARD LVCMOS33 [get_ports {raspberry_pi_tri_i_19}] #set_property IOSTANDARD LVCMOS33 [get_ports {raspberry_pi_tri_i_20}] #set_property IOSTANDARD LVCMOS33 [get_ports {raspberry_pi_tri_i_21}] #set_property IOSTANDARD LVCMOS33 [get_ports {raspberry_pi_tri_i_22}] #set_property IOSTANDARD LVCMOS33 [get_ports {raspberry_pi_tri_i_23}] #set_property IOSTANDARD LVCMOS33 [get_ports {raspberry_pi_tri_i_24}] #set_property IOSTANDARD LVCMOS33 [get_ports {spi_miso_i}] #set_property IOSTANDARD LVCMOS33 [get_ports {spi_mosi_i}] #set_property IOSTANDARD LVCMOS33 [get_ports {spi_sclk_i}] #set_property IOSTANDARD LVCMOS33 [get_ports {spi_ss_i}] set_property IOSTANDARD LVCMOS33 [get_ports {hdmi_rx_hpd}] set_property IOSTANDARD TMDS_33 [get_ports {TMDS_Clk_p_1}] set_property IOSTANDARD TMDS_33 [get_ports {TMDS_Clk_n_1}] set_property IOSTANDARD TMDS_33 [get_ports {TMDS_Data_p_1[0]}] set_property IOSTANDARD TMDS_33 [get_ports {TMDS_Data_p_1[1]}] set_property IOSTANDARD TMDS_33 [get_ports {TMDS_Data_p_1[2]}] set_property IOSTANDARD TMDS_33 [get_ports {TMDS_Data_n_1[0]}] set_property IOSTANDARD TMDS_33 [get_ports {TMDS_Data_n_1[1]}] set_property IOSTANDARD TMDS_33 [get_ports {TMDS_Data_n_1[2]}] set_property IOSTANDARD LVCMOS33 [get_ports {hdmi_tx_hpd}] set_property IOSTANDARD TMDS_33 [get_ports {TMDS_Clk_p_0}] set_property IOSTANDARD TMDS_33 [get_ports {TMDS_Clk_n_0}] set_property IOSTANDARD TMDS_33 [get_ports {TMDS_Data_p_0[0]}] set_property IOSTANDARD TMDS_33 [get_ports {TMDS_Data_p_0[1]}] set_property IOSTANDARD TMDS_33 [get_ports {TMDS_Data_p_0[2]}] set_property IOSTANDARD TMDS_33 [get_ports {TMDS_Data_n_0[0]}] set_property IOSTANDARD TMDS_33 [get_ports {TMDS_Data_n_0[1]}] set_property IOSTANDARD TMDS_33 [get_ports {TMDS_Data_n_0[2]}] set_property IOSTANDARD LVCMOS33 [get_ports {hdmi_in_ddc_scl_io}] set_property IOSTANDARD LVCMOS33 [get_ports {hdmi_in_ddc_sda_io}] #set_property IOSTANDARD LVCMOS33 [get_ports {respberry_sd_i}] #set_property IOSTANDARD LVCMOS33 [get_ports {respberry_sc_i}] #set_property IOSTANDARD LVCMOS33 [get_ports {hdmi_tx_cec}] #set_property IOSTANDARD LVCMOS33 [get_ports {arduino_a0}] #set_property IOSTANDARD LVCMOS33 [get_ports {arduino_a1}] #set_property IOSTANDARD LVCMOS33 [get_ports {arduino_a2}] #set_property IOSTANDARD LVCMOS33 [get_ports {arduino_a3}] #set_property IOSTANDARD LVCMOS33 [get_ports {arduino_a4}] #set_property IOSTANDARD LVCMOS33 [get_ports {arduino_a5}] #set_property IOSTANDARD LVCMOS33 [get_ports {audio_sd_i}] #set_property IOSTANDARD LVCMOS33 [get_ports {audio_sc_i}] #set_property IOSTANDARD LVCMOS33 [get_ports {audio_adr_0}] #set_property IOSTANDARD LVCMOS33 [get_ports {audio_adr_1}] #set_property IOSTANDARD LVCMOS33 [get_ports {audio_clk}] # #set_property IOSTANDARD LVCMOS33 [get_ports {bclk_i}] #set_property IOSTANDARD LVCMOS33 [get_ports {wclk_i}] #set_property IOSTANDARD LVCMOS33 [get_ports {sdada_out_i}] #set_property IOSTANDARD LVCMOS33 [get_ports {sdata_in_i}] set_property PACKAGE_PIN D19 [get_ports {btns_4bits_tri_i[0]}] set_property PACKAGE_PIN D20 [get_ports {btns_4bits_tri_i[1]}] set_property PACKAGE_PIN L20 [get_ports {btns_4bits_tri_i[2]}] set_property PACKAGE_PIN L19 [get_ports {btns_4bits_tri_i[3]}] set_property PACKAGE_PIN R14 [get_ports {leds_4bits_tri_o_0}] set_property PACKAGE_PIN P14 [get_ports {leds_4bits_tri_o_1}] set_property PACKAGE_PIN N16 [get_ports {leds_4bits_tri_o_2}] set_property PACKAGE_PIN M14 [get_ports {leds_4bits_tri_o_3}] set_property PACKAGE_PIN M20 [get_ports {sws_2bits_tri_i_0}] set_property PACKAGE_PIN M19 [get_ports {sws_2bits_tri_i_1}] #set_property PACKAGE_PIN H16 [get_ports {sys_clk}] #set_property PACKAGE_PIN Y18 [get_ports {JA1}] #set_property PACKAGE_PIN Y19 [get_ports {JA2}] #set_property PACKAGE_PIN Y16 [get_ports {JA3}] #set_property PACKAGE_PIN Y17 [get_ports {JA4}] #set_property PACKAGE_PIN U18 [get_ports {JA7}] #set_property PACKAGE_PIN U19 [get_ports {JA8}] #set_property PACKAGE_PIN W18 [get_ports {JA9}] #set_property PACKAGE_PIN W19 [get_ports {JA10}] #set_property PACKAGE_PIN W14 [get_ports {JB1}] #set_property PACKAGE_PIN Y14 [get_ports {JB2}] #set_property PACKAGE_PIN T11 [get_ports {JB3}] #set_property PACKAGE_PIN T10 [get_ports {JB4}] #set_property PACKAGE_PIN V16 [get_ports {JB7}] #set_property PACKAGE_PIN W16 [get_ports {JB8}] #set_property PACKAGE_PIN V12 [get_ports {JB9}] #set_property PACKAGE_PIN W13 [get_ports {JB10}] #set_property PACKAGE_PIN P15 [get_ports {i2c_scl_i}] #set_property PACKAGE_PIN P16 [get_ports {i2c_sda_i}] #set_property PACKAGE_PIN L15 [get_ports {rgb_led_tri_o_0}] #set_property PACKAGE_PIN G17 [get_ports {rgb_led_tri_o_1}] #set_property PACKAGE_PIN N15 [get_ports {rgb_led_tri_o_2}] #set_property PACKAGE_PIN G14 [get_ports {rgb_led_tri_o_3}] #set_property PACKAGE_PIN L14 [get_ports {rgb_led_tri_o_4}] #set_property PACKAGE_PIN M15 [get_ports {rgb_led_tri_o_5}] #set_property PACKAGE_PIN T14 [get_ports {arduino_a0_a13_tri_i_0}] #set_property PACKAGE_PIN U12 [get_ports {arduino_a0_a13_tri_i_1}] #set_property PACKAGE_PIN U13 [get_ports {arduino_a0_a13_tri_i_2}] #set_property PACKAGE_PIN V13 [get_ports {arduino_a0_a13_tri_i_3}] #set_property PACKAGE_PIN V15 [get_ports {arduino_a0_a13_tri_i_4}] #set_property PACKAGE_PIN T15 [get_ports {arduino_a0_a13_tri_i_5}] #set_property PACKAGE_PIN R16 [get_ports {arduino_a0_a13_tri_i_6}] #set_property PACKAGE_PIN U17 [get_ports {arduino_a0_a13_tri_i_7}] #set_property PACKAGE_PIN V17 [get_ports {arduino_a0_a13_tri_i_8}] #set_property PACKAGE_PIN V18 [get_ports {arduino_a0_a13_tri_i_9}] #set_property PACKAGE_PIN T16 [get_ports {arduino_a0_a13_tri_i_10}] #set_property PACKAGE_PIN R17 [get_ports {arduino_a0_a13_tri_i_11}] #set_property PACKAGE_PIN P18 [get_ports {arduino_a0_a13_tri_i_12}] #set_property PACKAGE_PIN N17 [get_ports {arduino_a0_a13_tri_i_13}] #set_property PACKAGE_PIN W18 [get_ports {raspberry_pi_tri_i_0}] #set_property PACKAGE_PIN W19 [get_ports {raspberry_pi_tri_i_1}] #set_property PACKAGE_PIN Y18 [get_ports {raspberry_pi_tri_i_2}] #set_property PACKAGE_PIN Y19 [get_ports {raspberry_pi_tri_i_3}] #set_property PACKAGE_PIN U18 [get_ports {raspberry_pi_tri_i_4}] #set_property PACKAGE_PIN U19 [get_ports {raspberry_pi_tri_i_5}] #set_property PACKAGE_PIN F19 [get_ports {raspberry_pi_tri_i_6}] #set_property PACKAGE_PIN V10 [get_ports {raspberry_pi_tri_i_7}] #set_property PACKAGE_PIN V8 [get_ports {raspberry_pi_tri_i_8}] #set_property PACKAGE_PIN W10 [get_ports {raspberry_pi_tri_i_9}] #set_property PACKAGE_PIN B20 [get_ports {raspberry_pi_tri_i_10}] #set_property PACKAGE_PIN W8 [get_ports {raspberry_pi_tri_i_11}] #set_property PACKAGE_PIN V6 [get_ports {raspberry_pi_tri_i_12}] #set_property PACKAGE_PIN Y6 [get_ports {raspberry_pi_tri_i_13}] #set_property PACKAGE_PIN B19 [get_ports {raspberry_pi_tri_i_14}] #set_property PACKAGE_PIN U7 [get_ports {raspberry_pi_tri_i_15}] #set_property PACKAGE_PIN C20 [get_ports {raspberry_pi_tri_i_16}] #set_property PACKAGE_PIN Y8 [get_ports {raspberry_pi_tri_i_17}] #set_property PACKAGE_PIN A20 [get_ports {raspberry_pi_tri_i_18}] #set_property PACKAGE_PIN Y9 [get_ports {raspberry_pi_tri_i_19}] #set_property PACKAGE_PIN U8 [get_ports {raspberry_pi_tri_i_20}] #set_property PACKAGE_PIN W6 [get_ports {raspberry_pi_tri_i_21}] #set_property PACKAGE_PIN Y7 [get_ports {raspberry_pi_tri_i_22}] #set_property PACKAGE_PIN F20 [get_ports {raspberry_pi_tri_i_23}] #set_property PACKAGE_PIN W9 [get_ports {raspberry_pi_tri_i_24}] #set_property PACKAGE_PIN W15 [get_ports {spi_miso_i}] #set_property PACKAGE_PIN T12 [get_ports {spi_mosi_i}] #set_property PACKAGE_PIN H15 [get_ports {spi_sclk_i}] #set_property PACKAGE_PIN F16 [get_ports {spi_ss_i}] set_property PACKAGE_PIN T19 [get_ports {hdmi_rx_hpd}] set_property PACKAGE_PIN N18 [get_ports {TMDS_Clk_p_1}] set_property PACKAGE_PIN P19 [get_ports {TMDS_Clk_n_1}] set_property PACKAGE_PIN V20 [get_ports {TMDS_Data_p_1[0]}] set_property PACKAGE_PIN T20 [get_ports {TMDS_Data_p_1[1]}] set_property PACKAGE_PIN N20 [get_ports {TMDS_Data_p_1[2]}] set_property PACKAGE_PIN W20 [get_ports {TMDS_Data_n_1[0]}] set_property PACKAGE_PIN U20 [get_ports {TMDS_Data_n_1[1]}] set_property PACKAGE_PIN P20 [get_ports {TMDS_Data_n_1[2]}] set_property PACKAGE_PIN R19 [get_ports {hdmi_tx_hpd}] set_property PACKAGE_PIN L16 [get_ports {TMDS_Clk_p_0}] set_property PACKAGE_PIN L17 [get_ports {TMDS_Clk_n_0}] set_property PACKAGE_PIN K17 [get_ports {TMDS_Data_p_0[0]}] set_property PACKAGE_PIN K19 [get_ports {TMDS_Data_p_0[1]}] set_property PACKAGE_PIN J18 [get_ports {TMDS_Data_p_0[2]}] set_property PACKAGE_PIN K18 [get_ports {TMDS_Data_n_0[0]}] set_property PACKAGE_PIN J19 [get_ports {TMDS_Data_n_0[1]}] set_property PACKAGE_PIN H18 [get_ports {TMDS_Data_n_0[2]}] set_property PACKAGE_PIN U14 [get_ports {hdmi_in_ddc_scl_io}] set_property PACKAGE_PIN U15 [get_ports {hdmi_in_ddc_sda_io}] #set_property PACKAGE_PIN Y16 [get_ports {respberry_sd_i}] #set_property PACKAGE_PIN Y17 [get_ports {respberry_sc_i}] #set_property PACKAGE_PIN G15 [get_ports {hdmi_tx_cec}] #set_property PACKAGE_PIN Y11 [get_ports {arduino_a0}] #set_property PACKAGE_PIN Y12 [get_ports {arduino_a1}] #set_property PACKAGE_PIN W11 [get_ports {arduino_a2}] #set_property PACKAGE_PIN V11 [get_ports {arduino_a3}] #set_property PACKAGE_PIN T5 [get_ports {arduino_a4}] #set_property PACKAGE_PIN U10 [get_ports {arduino_a5}] #AU_SDA_R AU_SCL_R ADR0 ADR1 AU_MCLK_R #set_property PACKAGE_PIN T9 [get_ports {audio_sd_i}] #set_property PACKAGE_PIN U9 [get_ports {audio_sc_i}] #set_property PACKAGE_PIN M17 [get_ports {audio_adr_0}] #set_property PACKAGE_PIN M18 [get_ports {audio_adr_1}] #set_property PACKAGE_PIN U5 [get_ports {audio_clk}] #AU_BCLK_R AU_WCLK_R AU_DIN_R AU_DOUT_R #set_property PACKAGE_PIN R18 [get_ports {bclk_i}] #set_property PACKAGE_PIN T17 [get_ports {wclk_i}] #set_property PACKAGE_PIN G18 [get_ports {sdada_out_i}] #set_property PACKAGE_PIN F17 [get_ports {sdata_in_i}] #AU_SDA #AU_SCL #ADR0 #ADR1 #AU_MCLK #AU_BCLK #AU_WCLK #AU_DOUT #AU_DIN set_property PACKAGE_PIN G18 [get_ports {SDATA_O}] set_property PACKAGE_PIN F17 [get_ports {SDATA_I}] set_property PACKAGE_PIN R18 [get_ports {BCLK_O}] set_property PACKAGE_PIN T17 [get_ports {LRCLK_O}] set_property PACKAGE_PIN U5 [get_ports {MCLK_O}] set_property PACKAGE_PIN U9 [get_ports {iic_rtl_scl_io}] set_property PACKAGE_PIN T9 [get_ports {iic_rtl_sda_io}] set_property IOSTANDARD LVCMOS33 [get_ports {SDATA_O}] set_property IOSTANDARD LVCMOS33 [get_ports {SDATA_I}] set_property IOSTANDARD LVCMOS33 [get_ports {BCLK_O}] set_property IOSTANDARD LVCMOS33 [get_ports {LRCLK_O}] set_property IOSTANDARD LVCMOS33 [get_ports {MCLK_O}] set_property IOSTANDARD LVCMOS33 [get_ports {iic_rtl_scl_io}] set_property IOSTANDARD LVCMOS33 [get_ports {iic_rtl_sda_io}]